lpflow_bleeder: Fixing the verilog models.

Fixing both the functional and behavioural verilog models.

Fixes https://github.com/google/skywater-pdk/issues/154

Signed-off-by: Tim 'mithro' Ansell <me@mith.ro>
Signed-off-by: Tim Edwards <tim@opencircuitdesign.com>
diff --git a/cells/lpflow_bleeder/sky130_fd_sc_hd__lpflow_bleeder.behavioral.pp.v b/cells/lpflow_bleeder/sky130_fd_sc_hd__lpflow_bleeder.behavioral.pp.v
index 6abbfce..03c719f 100644
--- a/cells/lpflow_bleeder/sky130_fd_sc_hd__lpflow_bleeder.behavioral.pp.v
+++ b/cells/lpflow_bleeder/sky130_fd_sc_hd__lpflow_bleeder.behavioral.pp.v
@@ -16,3 +16,34 @@
  * SPDX-License-Identifier: Apache-2.0
 */
 
+`ifndef SKY130_FD_SC_HD__LPFLOW_BLEEDER_BEHAVIORAL_PP_V
+`define SKY130_FD_SC_HD__LPFLOW_BLEEDER_BEHAVIORAL_PP_V
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+`celldefine
+module sky130_fd_sc_hd__lpflow_bleeder (
+    SHORT,
+    VPWR ,
+    VGND ,
+    VPB  ,
+    VNB
+);
+
+    input SHORT;
+    inout VPWR ;
+    input VGND ;
+    input VPB  ;
+    input VNB  ;
+
+    wire gnd;
+
+    pulldown(gnd);
+    bufif1 (VPWR, gnd, SHORT);
+
+endmodule
+`endcelldefine
+
+`default_nettype wire
+`endif 	// SKY130_FD_SC_HD__LPFLOW_BLEEDER_BEHAVIORAL_PP_V
diff --git a/cells/lpflow_bleeder/sky130_fd_sc_hd__lpflow_bleeder.behavioral.v b/cells/lpflow_bleeder/sky130_fd_sc_hd__lpflow_bleeder.behavioral.v
index 6abbfce..191ca02 100644
--- a/cells/lpflow_bleeder/sky130_fd_sc_hd__lpflow_bleeder.behavioral.v
+++ b/cells/lpflow_bleeder/sky130_fd_sc_hd__lpflow_bleeder.behavioral.v
@@ -16,3 +16,21 @@
  * SPDX-License-Identifier: Apache-2.0
 */
 
+`ifndef SKY130_FD_SC_HD__LPFLOW_BLEEDER_BEHAVIORAL_V
+`define SKY130_FD_SC_HD__LPFLOW_BLEEDER_BEHAVIORAL_V
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+`celldefine
+module sky130_fd_sc_hd__lpflow_bleeder (
+    SHORT
+);
+
+    input SHORT;
+
+endmodule
+`endcelldefine
+
+`default_nettype wire
+`endif	// SKY130_FD_SC_HD__LPFLOW_BLEEDER_BEHAVIORAL_V
diff --git a/cells/lpflow_bleeder/sky130_fd_sc_hd__lpflow_bleeder.functional.pp.v b/cells/lpflow_bleeder/sky130_fd_sc_hd__lpflow_bleeder.functional.pp.v
index 6abbfce..2272cf0 100644
--- a/cells/lpflow_bleeder/sky130_fd_sc_hd__lpflow_bleeder.functional.pp.v
+++ b/cells/lpflow_bleeder/sky130_fd_sc_hd__lpflow_bleeder.functional.pp.v
@@ -16,3 +16,29 @@
  * SPDX-License-Identifier: Apache-2.0
 */
 
+`ifndef SKY130_FD_SC_HD__LPFLOW_BLEEDER_FUNCTIONAL_PP_V
+`define SKY130_FD_SC_HD__LPFLOW_BLEEDER_FUNCTIONAL_PP_V
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+`celldefine
+module sky130_fd_sc_hd__lpflow_bleeder (
+    SHORT,
+    VPWR ,
+    VGND ,
+    VPB  ,
+    VNB
+);
+
+    input SHORT;
+    inout VPWR ;
+    input VGND ;
+    input VPB  ;
+    input VNB  ;
+
+endmodule
+`endcelldefine
+
+`default_nettype wire
+`endif	// SKY130_FD_SC_HD__LPFLOW_BLEEDER_FUNCTIONAL_PP_V
diff --git a/cells/lpflow_bleeder/sky130_fd_sc_hd__lpflow_bleeder.functional.v b/cells/lpflow_bleeder/sky130_fd_sc_hd__lpflow_bleeder.functional.v
index 6abbfce..fe80837 100644
--- a/cells/lpflow_bleeder/sky130_fd_sc_hd__lpflow_bleeder.functional.v
+++ b/cells/lpflow_bleeder/sky130_fd_sc_hd__lpflow_bleeder.functional.v
@@ -16,3 +16,21 @@
  * SPDX-License-Identifier: Apache-2.0
 */
 
+`ifndef SKY130_FD_SC_HD__LPFLOW_BLEEDER_FUNCTIONAL_V
+`define SKY130_FD_SC_HD__LPFLOW_BLEEDER_FUNCTIONAL_V
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+`celldefine
+module sky130_fd_sc_hd__lpflow_bleeder (
+    SHORT
+);
+
+    input SHORT;
+
+endmodule
+`endcelldefine
+
+`default_nettype wire
+`endif SKY130_FD_SC_HD__LPFLOW_BLEEDER_FUNCTIONAL_V