blob: e31da61c1a3c048e4e7cc639286cf3fa29d85090 [file] [log] [blame]
# Copyright 2020 The SkyWater PDK Authors
#
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# https://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0
VERSION 5.7 ;
NAMESCASESENSITIVE ON ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
UNITS
DATABASE MICRONS 200 ;
END UNITS
MACRO sky130_fd_sc_hd__and3b_2
CLASS CORE ;
FOREIGN sky130_fd_sc_hd__and3b_2 ;
ORIGIN 0.000000 0.000000 ;
SIZE 3.680000 BY 2.720000 ;
SYMMETRY X Y R90 ;
SITE unithd ;
PIN A_N
ANTENNAGATEAREA 0.126000 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 0.145000 0.745000 0.410000 1.325000 ;
END
END A_N
PIN B
ANTENNAGATEAREA 0.126000 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 1.815000 2.125000 2.290000 2.465000 ;
END
END B
PIN C
ANTENNAGATEAREA 0.126000 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 2.010000 0.305000 2.220000 0.765000 ;
RECT 2.010000 0.765000 2.420000 1.245000 ;
END
END C
PIN X
ANTENNADIFFAREA 0.445500 ;
DIRECTION OUTPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 2.875000 1.795000 3.160000 2.465000 ;
RECT 2.915000 0.255000 3.160000 0.715000 ;
RECT 2.990000 0.715000 3.160000 0.925000 ;
RECT 2.990000 0.925000 3.595000 1.445000 ;
RECT 2.990000 1.445000 3.160000 1.795000 ;
END
END X
PIN VGND
DIRECTION INOUT ;
SHAPE ABUTMENT ;
USE GROUND ;
PORT
LAYER met1 ;
RECT 0.000000 -0.240000 3.680000 0.240000 ;
END
END VGND
PIN VPWR
DIRECTION INOUT ;
SHAPE ABUTMENT ;
USE POWER ;
PORT
LAYER met1 ;
RECT 0.000000 2.480000 3.680000 2.960000 ;
END
END VPWR
OBS
LAYER li1 ;
RECT 0.000000 -0.085000 3.680000 0.085000 ;
RECT 0.000000 2.635000 3.680000 2.805000 ;
RECT 0.085000 0.085000 0.355000 0.575000 ;
RECT 0.085000 1.575000 0.400000 2.635000 ;
RECT 0.580000 0.305000 0.855000 1.015000 ;
RECT 0.580000 1.015000 1.415000 1.245000 ;
RECT 0.580000 1.245000 0.855000 1.905000 ;
RECT 1.030000 2.130000 1.645000 2.635000 ;
RECT 1.050000 1.425000 2.820000 1.595000 ;
RECT 1.050000 1.595000 1.285000 1.960000 ;
RECT 1.055000 0.305000 1.840000 0.570000 ;
RECT 1.455000 1.765000 1.785000 1.955000 ;
RECT 1.455000 1.955000 1.645000 2.130000 ;
RECT 1.585000 0.570000 1.840000 1.425000 ;
RECT 2.010000 1.595000 2.200000 1.890000 ;
RECT 2.410000 0.085000 2.740000 0.580000 ;
RECT 2.460000 1.790000 2.675000 2.635000 ;
RECT 2.590000 0.995000 2.820000 1.425000 ;
RECT 3.330000 0.085000 3.595000 0.745000 ;
RECT 3.330000 1.625000 3.595000 2.635000 ;
LAYER mcon ;
RECT 0.145000 -0.085000 0.315000 0.085000 ;
RECT 0.145000 2.635000 0.315000 2.805000 ;
RECT 0.605000 -0.085000 0.775000 0.085000 ;
RECT 0.605000 2.635000 0.775000 2.805000 ;
RECT 1.065000 -0.085000 1.235000 0.085000 ;
RECT 1.065000 2.635000 1.235000 2.805000 ;
RECT 1.525000 -0.085000 1.695000 0.085000 ;
RECT 1.525000 2.635000 1.695000 2.805000 ;
RECT 1.985000 -0.085000 2.155000 0.085000 ;
RECT 1.985000 2.635000 2.155000 2.805000 ;
RECT 2.445000 -0.085000 2.615000 0.085000 ;
RECT 2.445000 2.635000 2.615000 2.805000 ;
RECT 2.905000 -0.085000 3.075000 0.085000 ;
RECT 2.905000 2.635000 3.075000 2.805000 ;
RECT 3.365000 -0.085000 3.535000 0.085000 ;
RECT 3.365000 2.635000 3.535000 2.805000 ;
END
END sky130_fd_sc_hd__and3b_2
END LIBRARY