blob: 44c0a3590fc93ef1c2d976bdfee9c6390d4326fc [file] [log] [blame]
{
"area": 7.5072,
"cell_footprint": "o211ai",
"cell_leakage_power": 0.003620266,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"leakage_power": [
{
"value": 0.0185922,
"when": "A1&A2&B1&C1"
},
{
"value": 3.5778096e-05,
"when": "A1&A2&B1&!C1"
},
{
"value": 1.4810391e-05,
"when": "!A1&!A2&!B1&C1"
},
{
"value": 8.2453626e-06,
"when": "!A1&!A2&!B1&!C1"
},
{
"value": 4.8781176e-05,
"when": "!A1&!A2&B1&C1"
},
{
"value": 0.0076305,
"when": "!A1&!A2&B1&!C1"
},
{
"value": 3.5391801e-05,
"when": "!A1&A2&!B1&C1"
},
{
"value": 8.3617449e-06,
"when": "!A1&A2&!B1&!C1"
},
{
"value": 0.0185944,
"when": "!A1&A2&B1&C1"
},
{
"value": 3.5778113e-05,
"when": "!A1&A2&B1&!C1"
},
{
"value": 3.5391798e-05,
"when": "A1&!A2&!B1&C1"
},
{
"value": 8.3617449e-06,
"when": "A1&!A2&!B1&!C1"
},
{
"value": 0.0127968,
"when": "A1&!A2&B1&C1"
},
{
"value": 3.5771838e-05,
"when": "A1&!A2&B1&!C1"
},
{
"value": 3.5391801e-05,
"when": "A1&A2&!B1&C1"
},
{
"value": 8.3617449e-06,
"when": "A1&A2&!B1&!C1"
}
],
"pg_pin VGND": {
"pg_type": "primary_ground",
"voltage_name": "VGND"
},
"pg_pin VNB": {
"pg_type": "primary_ground",
"voltage_name": "VNB"
},
"pg_pin VPB": {
"pg_type": "primary_power",
"voltage_name": "VPB"
},
"pg_pin VPWR": {
"pg_type": "primary_power",
"voltage_name": "VPWR"
},
"pin A1": {
"capacitance": 0.002334,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002228,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
0.0043692,
0.004376,
0.0043917,
0.0043905,
0.0043878,
0.0043816,
0.0043672
]
},
"rise_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
-0.0043835,
-0.0043838,
-0.0043847,
-0.0043835,
-0.0043807,
-0.0043743,
-0.0043595
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002441
},
"pin A2": {
"capacitance": 0.002329,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002176,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
0.0042633,
0.0042629,
0.0042618,
0.0042614,
0.0042604,
0.0042581,
0.0042528
]
},
"rise_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
-0.004261,
-0.0042605,
-0.0042596,
-0.0042577,
-0.0042534,
-0.0042435,
-0.0042206
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002482
},
"pin B1": {
"capacitance": 0.002363,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002262,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
0.004819,
0.0048098,
0.0047884,
0.004801,
0.00483,
0.0048969,
0.0050511
]
},
"rise_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
-0.0043808,
-0.0043816,
-0.0043832,
-0.0043835,
-0.0043841,
-0.0043856,
-0.004389
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002464
},
"pin C1": {
"capacitance": 0.002399,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002318,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
0.0053968,
0.0053997,
0.0054063,
0.005403,
0.0053952,
0.0053772,
0.0053357
]
},
"rise_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
-0.0043793,
-0.004383,
-0.0043915,
-0.0043856,
-0.0043719,
-0.0043406,
-0.0042683
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.002481
},
"pin Y": {
"direction": "output",
"function": "(!A1&!A2) | (!B1) | (!C1)",
"internal_power": [
{
"fall_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.002983344,
0.007287356,
0.01780068,
0.04348138,
0.1062111
],
"values": [
[
0.0077208,
0.0063489,
0.0030192,
-0.0051263,
-0.0250901,
-0.0738613,
-0.1930695
],
[
0.0075747,
0.0062169,
0.0029018,
-0.0052415,
-0.0251738,
-0.0739457,
-0.1931403
],
[
0.0073937,
0.0060572,
0.0027561,
-0.00535,
-0.0252593,
-0.074005,
-0.1932231
],
[
0.0071929,
0.0058586,
0.0025749,
-0.0055072,
-0.0253684,
-0.0740841,
-0.1932754
],
[
0.0074613,
0.006089,
0.0027529,
-0.0055195,
-0.0253567,
-0.0740402,
-0.1932458
],
[
0.0085994,
0.0071526,
0.0037073,
-0.0046491,
-0.0248596,
-0.0738845,
-0.1931712
],
[
0.013541,
0.0119551,
0.0081725,
-0.0008085,
-0.0219459,
-0.0719318,
-0.192186
]
]
},
"related_pin": "A1",
"rise_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.002983344,
0.007287356,
0.01780068,
0.04348138,
0.1062111
],
"values": [
[
0.0170302,
0.0184217,
0.0217789,
0.0299658,
0.0497763,
0.0980297,
0.2156723
],
[
0.0168474,
0.0182282,
0.0216223,
0.0298312,
0.0496963,
0.0980401,
0.215708
],
[
0.0166199,
0.018007,
0.0214132,
0.0296326,
0.0495197,
0.0978192,
0.2156051
],
[
0.0164792,
0.0178775,
0.0212436,
0.0294502,
0.0493521,
0.0977555,
0.2156701
],
[
0.0164978,
0.0179071,
0.0212393,
0.0294826,
0.0493804,
0.0975929,
0.2155369
],
[
0.0173151,
0.0187566,
0.0221256,
0.0301997,
0.0499366,
0.0981316,
0.2157902
],
[
0.0222662,
0.0234259,
0.0263428,
0.0337022,
0.0527316,
0.1002701,
0.2172886
]
]
}
},
{
"fall_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.002983344,
0.007287356,
0.01780068,
0.04348138,
0.1062111
],
"values": [
[
0.0063162,
0.004979,
0.0016833,
-0.0064581,
-0.0264087,
-0.0752162,
-0.1944758
],
[
0.0061473,
0.0048481,
0.0015966,
-0.0064859,
-0.0263885,
-0.0751685,
-0.1944099
],
[
0.0058422,
0.0045651,
0.0013643,
-0.0066233,
-0.0264588,
-0.0751865,
-0.1944052
],
[
0.0055584,
0.0042231,
0.0010251,
-0.0069014,
-0.0266211,
-0.0752739,
-0.1944169
],
[
0.0057817,
0.0043936,
0.001089,
-0.0070079,
-0.0268145,
-0.0753643,
-0.1944883
],
[
0.0070306,
0.0055405,
0.0019828,
-0.0064194,
-0.0265002,
-0.0753728,
-0.1944977
],
[
0.0123911,
0.0106436,
0.0066413,
-0.002715,
-0.0240575,
-0.0738508,
-0.1937259
]
]
},
"related_pin": "A2",
"rise_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.002983344,
0.007287356,
0.01780068,
0.04348138,
0.1062111
],
"values": [
[
0.0145612,
0.0159478,
0.0193463,
0.0275265,
0.0473535,
0.0956178,
0.2131804
],
[
0.0142134,
0.0156194,
0.0190376,
0.0273183,
0.0472384,
0.0955468,
0.2133046
],
[
0.0139813,
0.0153783,
0.0187889,
0.027095,
0.0470708,
0.0953951,
0.2133719
],
[
0.0140083,
0.0153911,
0.0187518,
0.0269252,
0.0468472,
0.0952944,
0.2131427
],
[
0.014671,
0.0160222,
0.0193335,
0.0273481,
0.0470148,
0.0952691,
0.2130646
],
[
0.016825,
0.0181602,
0.0212502,
0.0290242,
0.0482987,
0.0959896,
0.2134436
],
[
0.0247193,
0.026147,
0.0291467,
0.0345043,
0.0539146,
0.1026924,
0.2155582
]
]
}
},
{
"fall_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.002983344,
0.007287356,
0.01780068,
0.04348138,
0.1062111
],
"values": [
[
0.0062558,
0.0049267,
0.0016302,
-0.0065117,
-0.0264666,
-0.0752719,
-0.194522
],
[
0.0060302,
0.004726,
0.0014759,
-0.0065912,
-0.0264972,
-0.0752897,
-0.1945318
],
[
0.0057643,
0.0044519,
0.001239,
-0.0067732,
-0.0266025,
-0.075315,
-0.1945477
],
[
0.0055856,
0.0042571,
0.0010031,
-0.0069914,
-0.026751,
-0.0754057,
-0.1945754
],
[
0.0060313,
0.0046459,
0.0012731,
-0.0068856,
-0.026861,
-0.0754902,
-0.1946496
],
[
0.0079747,
0.0064138,
0.0027157,
-0.0058969,
-0.0262099,
-0.0752881,
-0.1945231
],
[
0.0145636,
0.0127162,
0.008395,
-0.0013618,
-0.0231484,
-0.0733312,
-0.1935595
]
]
},
"related_pin": "B1",
"rise_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.002983344,
0.007287356,
0.01780068,
0.04348138,
0.1062111
],
"values": [
[
0.0090548,
0.0104778,
0.0139112,
0.0221051,
0.0419746,
0.0901992,
0.2079028
],
[
0.0087299,
0.0101652,
0.0135653,
0.0219063,
0.0418506,
0.0901529,
0.2078938
],
[
0.0085161,
0.0099182,
0.013337,
0.0216918,
0.041689,
0.0897236,
0.207836
],
[
0.0088111,
0.0101529,
0.0134656,
0.0215451,
0.0413546,
0.0896877,
0.2077516
],
[
0.0093978,
0.0107216,
0.0140096,
0.0219152,
0.0413902,
0.0895147,
0.2074312
],
[
0.0116265,
0.0128125,
0.0158143,
0.0237038,
0.0430359,
0.0905972,
0.2074924
],
[
0.0188798,
0.020214,
0.0224562,
0.0295419,
0.0488136,
0.098058,
0.2096404
]
]
}
},
{
"fall_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.002983344,
0.007287356,
0.01780068,
0.04348138,
0.1062111
],
"values": [
[
0.006129,
0.0048123,
0.001554,
-0.0065432,
-0.0264706,
-0.0752631,
-0.1945088
],
[
0.0058845,
0.0045956,
0.0013782,
-0.0066534,
-0.026506,
-0.0752819,
-0.1945113
],
[
0.0056534,
0.0043628,
0.0011409,
-0.0068177,
-0.0266137,
-0.0753034,
-0.1945254
],
[
0.0055872,
0.0042801,
0.0010306,
-0.0070299,
-0.0267806,
-0.0754104,
-0.194573
],
[
0.0064817,
0.0050523,
0.0016521,
-0.0065459,
-0.0266951,
-0.0754034,
-0.1945715
],
[
0.0089433,
0.0072995,
0.003534,
-0.0052898,
-0.0258045,
-0.0750884,
-0.194409
],
[
0.0166292,
0.0147288,
0.0109198,
0.0006747,
-0.0217242,
-0.0725325,
-0.1931005
]
]
},
"related_pin": "C1",
"rise_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.002983344,
0.007287356,
0.01780068,
0.04348138,
0.1062111
],
"values": [
[
0.0054635,
0.006981,
0.010546,
0.0190395,
0.0391132,
0.0868591,
0.2043257
],
[
0.0050722,
0.0065632,
0.0101508,
0.0187122,
0.038885,
0.0868009,
0.2042131
],
[
0.0049151,
0.0063226,
0.0097789,
0.018121,
0.0383564,
0.0872115,
0.2042162
],
[
0.0051776,
0.0065436,
0.0099096,
0.0181129,
0.0379645,
0.0871095,
0.2036137
],
[
0.0060467,
0.0073085,
0.0104433,
0.0183794,
0.0378725,
0.0861777,
0.2045804
],
[
0.0097108,
0.0105348,
0.0126122,
0.0203354,
0.039569,
0.0868695,
0.2046527
],
[
0.0161261,
0.0171188,
0.0199206,
0.0274645,
0.0447965,
0.0925557,
0.2062311
]
]
}
}
],
"max_capacitance": 0.106211,
"max_transition": 1.490951,
"output_voltage": "GENERAL",
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
12.055,
0.54025,
0.134204,
0.131262,
0.128228,
0.126659,
0.125046,
0.123381,
0.121654,
0.119849,
0.117946,
0.115917,
0.113717,
0.111277,
0.108475,
0.105082,
0.100656,
0.0946289,
0.0866819,
0.0767537,
0.0648856,
0.0511615,
0.0356899,
0.0185933,
-2.19598e-07,
-0.0394194,
-0.0799921,
-0.395784,
-5.27101
],
[
12.0548,
0.540419,
0.134523,
0.131626,
0.128634,
0.127085,
0.125492,
0.123847,
0.122138,
0.120351,
0.118467,
0.116455,
0.114273,
0.11185,
0.109065,
0.105692,
0.101291,
0.0952962,
0.08738,
0.0774695,
0.0655903,
0.0518086,
0.0362136,
0.0189077,
-1.66707e-07,
-0.0402295,
-0.0817364,
-0.399562,
-5.27143
],
[
12.0454,
0.533824,
0.127357,
0.124763,
0.12208,
0.120687,
0.119253,
0.117769,
0.116226,
0.11461,
0.112902,
0.111075,
0.109088,
0.106875,
0.10432,
0.101202,
0.0970921,
0.0914416,
0.0839431,
0.074522,
0.0631915,
0.0500001,
0.0350166,
0.0183211,
-1.0636e-07,
-0.0391991,
-0.0798949,
-0.397351,
-5.27122
],
[
12.0389,
0.537987,
0.121999,
0.119619,
0.117153,
0.115871,
0.11455,
0.113181,
0.111756,
0.110262,
0.10868,
0.106986,
0.105139,
0.103077,
0.100689,
0.0977576,
0.0938595,
0.0884626,
0.081273,
0.0722161,
0.0612969,
0.0485538,
0.0340435,
0.0178342,
-8.13296e-08,
-0.0383053,
-0.0782588,
-0.395132,
-5.271
],
[
12.0296,
0.551964,
0.113914,
0.11184,
0.109698,
0.108582,
0.107429,
0.106232,
0.104983,
0.103671,
0.102278,
0.100782,
0.0991456,
0.0973112,
0.0951737,
0.0925244,
0.0889505,
0.0839438,
0.0772301,
0.0687313,
0.0584391,
0.0463749,
0.0325784,
0.0171008,
-5.55529e-08,
-0.0369648,
-0.075813,
-0.391832,
-5.27067
],
[
12.0232,
0.558986,
0.10856,
0.106516,
0.104596,
0.103592,
0.102553,
0.101473,
0.100344,
0.0991546,
0.0978905,
0.0965291,
0.0950367,
0.0933577,
0.0913921,
0.0889376,
0.0855894,
0.0808568,
0.0744769,
0.0663668,
0.0565071,
0.0449072,
0.0315946,
0.0166094,
-4.36033e-08,
-0.0360762,
-0.0742027,
-0.389695,
-5.27046
],
[
12.0151,
0.564187,
0.103246,
0.0998578,
0.0982107,
0.0973468,
0.0964503,
0.0955158,
0.0945359,
0.0935009,
0.092397,
0.0912041,
0.089891,
0.0884066,
0.0866571,
0.0844487,
0.0813887,
0.0770088,
0.0710574,
0.0634421,
0.0541277,
0.0431069,
0.0303924,
0.016011,
-3.64198e-08,
-0.0350064,
-0.0722774,
-0.387184,
-5.2702
],
[
12.0047,
0.566976,
0.100794,
0.0914514,
0.0901205,
0.0894322,
0.0887145,
0.0879625,
0.0871702,
0.0863289,
0.0854268,
0.0844463,
0.0833601,
0.0821224,
0.0806483,
0.0787567,
0.0760731,
0.0721586,
0.0667697,
0.0597964,
0.0511794,
0.0408891,
0.028919,
0.0152808,
-1.08372e-07,
-0.0337216,
-0.0699857,
-0.384261,
-5.26991
],
[
11.9921,
0.565695,
0.102196,
0.0810777,
0.0797687,
0.07929,
0.0787876,
0.0782575,
0.0776948,
0.0770925,
0.076441,
0.0757261,
0.0749255,
0.0740012,
0.0728812,
0.0714034,
0.0692252,
0.065945,
0.0613177,
0.0551993,
0.0474924,
0.0381368,
0.0271028,
0.0143854,
-1.92847e-06,
-0.0321827,
-0.0672695,
-0.380887,
-5.26957
],
[
11.9779,
0.563482,
0.105321,
0.0704821,
0.0669643,
0.0666645,
0.0663539,
0.0660273,
0.0656814,
0.0653116,
0.0649115,
0.0644716,
0.0639767,
0.0634002,
0.0626895,
0.0617168,
0.0601987,
0.0577909,
0.0542244,
0.0492795,
0.0427917,
0.0346556,
0.0248142,
0.0132473,
-4.07195e-05,
-0.0303755,
-0.0640951,
-0.377058,
-5.26922
],
[
11.9635,
0.560421,
0.109078,
0.0640737,
0.0528011,
0.0525097,
0.0522668,
0.0520163,
0.0517554,
0.0514816,
0.0511915,
0.0508799,
0.0505387,
0.0501528,
0.0496906,
0.0490685,
0.0481099,
0.046622,
0.0443722,
0.041018,
0.0361977,
0.029687,
0.0213895,
0.011275,
-0.000651829,
-0.0287769,
-0.0609218,
-0.373276,
-5.26939
],
[
11.9496,
0.557667,
0.11347,
0.0627913,
0.0386905,
0.037476,
0.0369143,
0.0363683,
0.0358189,
0.0352623,
0.0346967,
0.0341201,
0.0335299,
0.032923,
0.0322967,
0.0316452,
0.030905,
0.0298911,
0.0285077,
0.0266307,
0.0238484,
0.0194988,
0.013223,
0.00495704,
-0.00527624,
-0.0307668,
-0.0611203,
-0.372982,
-5.27364
],
[
11.9371,
0.556125,
0.118714,
0.0640139,
0.0256806,
0.0195674,
0.0175601,
0.0159604,
0.0144429,
0.0129626,
0.0115105,
0.0100908,
0.00872043,
0.00744037,
0.00633713,
0.00551115,
0.00481983,
0.00398074,
0.00295006,
0.00173097,
0.00025869,
-0.00184467,
-0.00555976,
-0.011479,
-0.0196086,
-0.0419912,
-0.0703504,
-0.381963,
-5.28791
],
[
11.9267,
0.556619,
0.125002,
0.0655378,
0.0146601,
-0.000983838,
-0.00780833,
-0.011275,
-0.0140709,
-0.0166197,
-0.0190122,
-0.0212563,
-0.0233132,
-0.0250932,
-0.0264493,
-0.0273038,
-0.0279894,
-0.0287501,
-0.029592,
-0.0304918,
-0.0314443,
-0.0324887,
-0.0339354,
-0.0370252,
-0.0425637,
-0.0612805,
-0.0874441,
-0.399171,
-5.31117
],
[
11.9193,
0.55999,
0.132432,
0.0671011,
0.00650836,
-0.0172421,
-0.0327298,
-0.0403227,
-0.0448598,
-0.048466,
-0.0516163,
-0.0544197,
-0.0568576,
-0.0588268,
-0.0601592,
-0.0609415,
-0.061603,
-0.0622701,
-0.0629332,
-0.0635806,
-0.064209,
-0.0648224,
-0.0654438,
-0.0663542,
-0.0690277,
-0.0834303,
-0.107176,
-0.419464,
-5.33806
],
[
11.9155,
0.566926,
0.140972,
0.0698194,
0.00192902,
-0.027301,
-0.0501116,
-0.0649325,
-0.0728949,
-0.0780365,
-0.0820343,
-0.0853404,
-0.0880383,
-0.0900338,
-0.0912278,
-0.0919594,
-0.0925612,
-0.0930959,
-0.0935791,
-0.0940208,
-0.0944273,
-0.0948033,
-0.0951535,
-0.0954955,
-0.0962928,
-0.105832,
-0.126932,
-0.440254,
-5.36581
],
[
11.9149,
0.576904,
0.149893,
0.0738207,
0.000383684,
-0.032612,
-0.0602841,
-0.0817561,
-0.0956328,
-0.103695,
-0.109067,
-0.113065,
-0.116045,
-0.117998,
-0.119112,
-0.119846,
-0.120421,
-0.120909,
-0.121342,
-0.121734,
-0.122095,
-0.122428,
-0.122737,
-0.123027,
-0.123376,
-0.128286,
-0.146239,
-0.460755,
-5.39346
],
[
11.9157,
0.588067,
0.157788,
0.0778024,
7.1577e-05,
-0.035631,
-0.0666095,
-0.0924714,
-0.112243,
-0.125167,
-0.133093,
-0.138287,
-0.141688,
-0.143671,
-0.144843,
-0.145668,
-0.146329,
-0.146897,
-0.147403,
-0.147864,
-0.148288,
-0.148681,
-0.149047,
-0.149389,
-0.149723,
-0.151674,
-0.165296,
-0.480606,
-5.42058
],
[
11.9168,
0.599236,
0.164215,
0.0811219,
1.43277e-05,
-0.0377075,
-0.0710477,
-0.0997907,
-0.123489,
-0.14135,
-0.153213,
-0.160489,
-0.164659,
-0.166942,
-0.16837,
-0.169424,
-0.170286,
-0.171031,
-0.171696,
-0.1723,
-0.172854,
-0.173367,
-0.173843,
-0.174287,
-0.174703,
-0.175722,
-0.184187,
-0.499409,
-5.44676
],
[
11.9179,
0.610024,
0.169401,
0.0838053,
2.88552e-06,
-0.0392698,
-0.0743649,
-0.105121,
-0.131284,
-0.152413,
-0.167961,
-0.177885,
-0.183313,
-0.186313,
-0.188288,
-0.189791,
-0.191033,
-0.192108,
-0.193064,
-0.193929,
-0.194719,
-0.195447,
-0.196119,
-0.196743,
-0.197323,
-0.198419,
-0.202688,
-0.516535,
-5.47137
],
[
11.919,
0.620139,
0.173623,
0.0859816,
5.2741e-07,
-0.0404908,
-0.0769303,
-0.109163,
-0.136986,
-0.160075,
-0.177865,
-0.189674,
-0.196278,
-0.20015,
-0.202848,
-0.204972,
-0.206761,
-0.208327,
-0.20973,
-0.211003,
-0.212169,
-0.213243,
-0.214237,
-0.215158,
-0.216014,
-0.217568,
-0.219857,
-0.530938,
-5.49336
],
[
11.9201,
0.62928,
0.177094,
0.087762,
7.76293e-08,
-0.041466,
-0.0789601,
-0.112317,
-0.141331,
-0.165677,
-0.184695,
-0.197386,
-0.204598,
-0.209031,
-0.212254,
-0.214867,
-0.217118,
-0.219123,
-0.220945,
-0.22262,
-0.224172,
-0.225616,
-0.226965,
-0.228226,
-0.229407,
-0.231561,
-0.233667,
-0.541246,
-5.51117
],
[
11.9211,
0.637191,
0.179973,
0.0892311,
1.87195e-08,
-0.0422569,
-0.0805934,
-0.114828,
-0.144736,
-0.169953,
-0.18969,
-0.202756,
-0.210218,
-0.21493,
-0.218436,
-0.221325,
-0.223844,
-0.226111,
-0.228191,
-0.23012,
-0.231923,
-0.233615,
-0.235207,
-0.236708,
-0.238126,
-0.240739,
-0.243139,
-0.547204,
-5.5238
],
[
11.9221,
0.643764,
0.182376,
0.0904519,
1.45864e-08,
-0.0429056,
-0.0819248,
-0.116859,
-0.147458,
-0.173314,
-0.193508,
-0.206737,
-0.214305,
-0.219164,
-0.222828,
-0.225873,
-0.228545,
-0.230962,
-0.233188,
-0.235262,
-0.237208,
-0.239042,
-0.240774,
-0.242414,
-0.24397,
-0.246853,
-0.249491,
-0.550365,
-5.53215
],
[
11.923,
0.649081,
0.184393,
0.0914723,
1.44517e-08,
-0.0434423,
-0.0830213,
-0.118521,
-0.149669,
-0.176009,
-0.196514,
-0.209812,
-0.21743,
-0.222377,
-0.22614,
-0.229284,
-0.232052,
-0.234563,
-0.236881,
-0.239045,
-0.24108,
-0.243,
-0.244818,
-0.246542,
-0.248181,
-0.251227,
-0.254018,
-0.55232,
-5.53788
],
[
11.9245,
0.656782,
0.187529,
0.0930499,
1.4672e-08,
-0.044263,
-0.0846906,
-0.121037,
-0.152987,
-0.180007,
-0.200896,
-0.214227,
-0.221881,
-0.22693,
-0.23081,
-0.234074,
-0.236959,
-0.239583,
-0.242011,
-0.244282,
-0.246421,
-0.248443,
-0.25036,
-0.252182,
-0.253915,
-0.257147,
-0.260118,
-0.555357,
-5.54534
],
[
11.9256,
0.661882,
0.189772,
0.0941706,
1.51843e-08,
-0.0448403,
-0.0858615,
-0.122793,
-0.155288,
-0.182754,
-0.20386,
-0.21718,
-0.224843,
-0.229949,
-0.233898,
-0.237233,
-0.240186,
-0.242877,
-0.24537,
-0.247703,
-0.249901,
-0.251982,
-0.253956,
-0.255833,
-0.257621,
-0.260958,
-0.264029,
-0.558316,
-5.55007
],
[
11.9271,
0.669489,
0.193265,
0.0958914,
1.99716e-08,
-0.045723,
-0.087665,
-0.125509,
-0.158847,
-0.186981,
-0.208376,
-0.221645,
-0.229315,
-0.234498,
-0.238545,
-0.241979,
-0.24503,
-0.247815,
-0.250398,
-0.252819,
-0.255102,
-0.257265,
-0.259318,
-0.261273,
-0.263136,
-0.266618,
-0.26983,
-0.564297,
-5.55716
],
[
11.9275,
0.6714,
0.193908,
0.0961586,
4.66195e-08,
-0.0458862,
-0.0880834,
-0.126238,
-0.159902,
-0.18832,
-0.209861,
-0.223145,
-0.230837,
-0.236059,
-0.24015,
-0.243627,
-0.24672,
-0.249544,
-0.252166,
-0.254623,
-0.256942,
-0.259139,
-0.261226,
-0.263213,
-0.265108,
-0.268651,
-0.271922,
-0.566561,
-5.55977
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000145849,
"miller_cap_rise": 0.00015568,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00381817
],
"index_3": [
0.0264994,
0.0405974,
0.0541088,
0.0678366,
0.0905479
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0114545
],
"index_3": [
0.0330285,
0.0595502,
0.0859678,
0.1136126,
0.1575735
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00381817
],
"index_3": [
0.079915,
0.0965152,
0.1103293,
0.1238489,
0.146723
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0114545
],
"index_3": [
0.0880862,
0.1159779,
0.1424,
0.1699258,
0.2139412
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00168767
],
"index_3": [
0.0394817,
0.0685857,
0.0975592,
0.1246154,
0.1684361
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.01
],
"index_2": [
0.005063
],
"index_3": [
0.0460072,
0.0877439,
0.1303466,
0.1736975,
0.240433
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00168767
],
"index_3": [
0.1034895,
0.1327645,
0.1614433,
0.1891162,
0.2327836
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.005063
],
"index_3": [
0.1105085,
0.1522699,
0.1948523,
0.2380649,
0.304991
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.903557
],
"index_2": [
1.85266
],
"index_3": [
0.00381817
],
"index_4": [
0.9208178,
0.9708776,
1.0577067,
1.1442441,
1.2118222
],
"values": [
1.62038,
1.42261,
1.29076,
1.42261,
1.62038
]
},
{
"index_1": [
0.994406
],
"index_2": [
1.03255
],
"index_3": [
0.00381817
],
"index_4": [
0.5233745,
0.5638548,
0.6365037,
0.7059074,
0.761472
],
"values": [
1.49935,
1.22895,
1.04869,
1.22895,
1.49935
]
},
{
"index_1": [
1.08323
],
"index_2": [
0.672011
],
"index_3": [
0.00381817
],
"index_4": [
0.3464582,
0.3798592,
0.4387773,
0.5005548,
0.54834
],
"values": [
1.4384,
1.13144,
0.926802,
1.13144,
1.4384
]
},
{
"index_1": [
0.903557
],
"index_2": [
0.926332
],
"index_3": [
0.00381817
],
"index_4": [
0.4715335,
0.4975375,
0.5420467,
0.5964854,
0.6456678
],
"values": [
1.78781,
1.6905,
1.62562,
1.6905,
1.78781
]
},
{
"index_1": [
1.08323
],
"index_2": [
0.336005
],
"index_3": [
0.00381817
],
"index_4": [
0.1815314,
0.1983671,
0.2286921,
0.2630884,
0.2992643
],
"values": [
1.72793,
1.59468,
1.50585,
1.59468,
1.72793
]
},
{
"index_1": [
0.994406
],
"index_2": [
1.03255
],
"index_3": [
0.0114545
],
"index_4": [
0.5237418,
0.5654912,
0.6276053,
0.7261779,
0.801453
],
"values": [
1.70377,
1.55603,
1.45753,
1.55603,
1.70377
]
},
{
"index_1": [
1.08323
],
"index_2": [
0.672011
],
"index_3": [
0.0114545
],
"index_4": [
0.3469894,
0.3818832,
0.4388017,
0.5133103,
0.5811274
],
"values": [
1.67592,
1.51147,
1.40184,
1.51147,
1.67592
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.1369
],
"index_2": [
4.04078
],
"index_3": [
0.00168767
],
"index_4": [
1.9703914,
2.0306081,
2.0945869,
2.164006,
2.2184448
],
"values": [
0.097159,
0.155454,
0.194318,
0.155454,
0.097159
]
},
{
"index_1": [
1.22418
],
"index_2": [
2.34606
],
"index_3": [
0.00168767
],
"index_4": [
1.1585523,
1.2058275,
1.2715072,
1.3456383,
1.3913305
],
"values": [
0.246524,
0.394439,
0.493049,
0.394439,
0.246524
]
},
{
"index_1": [
1.30335
],
"index_2": [
1.57462
],
"index_3": [
0.00168767
],
"index_4": [
0.7830153,
0.828113,
0.8975093,
0.958788,
0.9995071
],
"values": [
0.360182,
0.576291,
0.720363,
0.576291,
0.360182
]
},
{
"index_1": [
1.1369
],
"index_2": [
2.02039
],
"index_3": [
0.00168767
],
"index_4": [
0.9857357,
1.0212506,
1.0613313,
1.1074107,
1.1464175
],
"values": [
0.0675835,
0.108134,
0.135167,
0.108134,
0.0675835
]
},
{
"index_1": [
1.30335
],
"index_2": [
0.78731
],
"index_3": [
0.00168767
],
"index_4": [
0.399431,
0.4225796,
0.4549936,
0.491702,
0.5146278
],
"values": [
0.181189,
0.289902,
0.362378,
0.289902,
0.181189
]
},
{
"index_1": [
1.22418
],
"index_2": [
2.34606
],
"index_3": [
0.005063
],
"index_4": [
1.1579968,
1.2082759,
1.293164,
1.3585657,
1.4079888
],
"values": [
0.188391,
0.301426,
0.376783,
0.301426,
0.188391
]
},
{
"index_1": [
1.30335
],
"index_2": [
1.57462
],
"index_3": [
0.005063
],
"index_4": [
0.7835826,
0.8306391,
0.8949911,
0.9700632,
1.0121415
],
"values": [
0.263517,
0.421627,
0.527033,
0.421627,
0.263517
]
}
]
},
"stage_type": "both"
},
"cell_fall del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.00298334,
0.00728736,
0.0178007,
0.0434814,
0.106211
],
"values": [
[
0.0355978,
0.0387427,
0.0463501,
0.064432,
0.1078442,
0.2129814,
0.4693188
],
[
0.0386308,
0.0418064,
0.0494546,
0.0675852,
0.1109703,
0.2162968,
0.4722657
],
[
0.0443213,
0.0476414,
0.055329,
0.0734993,
0.1169858,
0.2222007,
0.4784443
],
[
0.0516011,
0.055751,
0.0650465,
0.0853167,
0.1298269,
0.2352493,
0.4915381
],
[
0.0525315,
0.0586071,
0.0719066,
0.099937,
0.1539092,
0.2647448,
0.5218241
],
[
0.0298595,
0.0388733,
0.0587115,
0.0993638,
0.1769789,
0.317027,
0.5894291
],
[
-0.0552449,
-0.0421699,
-0.0128045,
0.0470133,
0.1603514,
0.3612208,
0.7099764
]
]
},
"cell_rise del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.00298334,
0.00728736,
0.0178007,
0.0434814,
0.106211
],
"values": [
[
0.0819736,
0.0886441,
0.1063833,
0.147903,
0.2482009,
0.4917668,
1.0856226
],
[
0.0863438,
0.0936787,
0.1113194,
0.1528312,
0.2532929,
0.4973251,
1.0928607
],
[
0.0970906,
0.1043851,
0.1220116,
0.1637752,
0.2642395,
0.5078204,
1.1008455
],
[
0.1212967,
0.1284836,
0.1457519,
0.1876533,
0.2884415,
0.5321702,
1.1258074
],
[
0.1690875,
0.178724,
0.1983778,
0.2434488,
0.3442645,
0.5884606,
1.1869759
],
[
0.2564275,
0.2689702,
0.2952963,
0.3514384,
0.4705898,
0.7189392,
1.3130057
],
[
0.4270119,
0.442117,
0.4769491,
0.5521947,
0.7044496,
1.007916,
1.6165002
]
]
},
"fall_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.00298334,
0.00728736,
0.0178007,
0.0434814,
0.106211
],
"values": [
[
0.0249558,
0.0287963,
0.0383077,
0.0616933,
0.1189211,
0.2576139,
0.5977837
],
[
0.024903,
0.0287551,
0.0383199,
0.0616895,
0.1185586,
0.2580841,
0.5988027
],
[
0.0263415,
0.0299537,
0.0390177,
0.0617004,
0.1188961,
0.2575835,
0.5987472
],
[
0.0351866,
0.0388068,
0.0468989,
0.0670046,
0.1205233,
0.2580904,
0.5979893
],
[
0.055094,
0.0592225,
0.0686871,
0.0898617,
0.138638,
0.2646041,
0.598441
],
[
0.0912051,
0.0968357,
0.1100302,
0.1370347,
0.192502,
0.3118349,
0.6151734
],
[
0.1595723,
0.1670814,
0.1840549,
0.2205058,
0.2946272,
0.4376716,
0.7273364
]
]
},
"related_pin": "A1",
"rise_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.00298334,
0.00728736,
0.0178007,
0.0434814,
0.106211
],
"values": [
[
0.071506,
0.0816508,
0.1049914,
0.1616351,
0.3011486,
0.6401105,
1.4670768
],
[
0.0719797,
0.0813304,
0.1046338,
0.1615918,
0.3009573,
0.6391669,
1.4652701
],
[
0.0717492,
0.0813358,
0.1045624,
0.161663,
0.3008513,
0.639689,
1.4645096
],
[
0.0742574,
0.0833375,
0.1057215,
0.1617577,
0.300399,
0.6400319,
1.4666001
],
[
0.0925233,
0.1017048,
0.1217486,
0.1717297,
0.3024539,
0.6398052,
1.4666276
],
[
0.1310212,
0.1411425,
0.1647654,
0.2173605,
0.3362213,
0.6474938,
1.4668303
],
[
0.1929927,
0.20602,
0.2358124,
0.3031504,
0.4401758,
0.7375924,
1.4859796
]
]
},
"timing_sense": "negative_unate",
"timing_type": "combinational"
},
{
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
12.1205,
0.606234,
0.210534,
0.206539,
0.201516,
0.19861,
0.195426,
0.191934,
0.188089,
0.183818,
0.179007,
0.173486,
0.16702,
0.15937,
0.150411,
0.140145,
0.128636,
0.115961,
0.102194,
0.0874037,
0.0716481,
0.0549832,
0.0374577,
0.019117,
-7.62146e-09,
-0.0387395,
-0.0770587,
-0.387045,
-5.26997
],
[
12.0906,
0.576765,
0.183991,
0.182458,
0.180601,
0.179468,
0.178115,
0.176435,
0.174276,
0.171486,
0.167936,
0.163501,
0.158052,
0.151481,
0.143715,
0.134714,
0.124466,
0.112975,
0.100259,
0.0863441,
0.0712631,
0.0550508,
0.0377445,
0.0193818,
-1.13198e-08,
-0.0397715,
-0.0795496,
-0.392795,
-5.27068
],
[
12.0614,
0.547685,
0.150489,
0.148519,
0.146375,
0.145213,
0.143973,
0.142637,
0.141177,
0.139553,
0.137697,
0.1355,
0.132761,
0.12917,
0.124419,
0.118339,
0.110858,
0.101944,
0.0915838,
0.0797814,
0.0665538,
0.0519264,
0.0359325,
0.01861,
-1.44649e-08,
-0.038967,
-0.0787149,
-0.393609,
-5.27081
],
[
12.0468,
0.544297,
0.133442,
0.131385,
0.129202,
0.128046,
0.126835,
0.125559,
0.124202,
0.122744,
0.121152,
0.119376,
0.117334,
0.114876,
0.111719,
0.107453,
0.101763,
0.0945215,
0.0856884,
0.0752576,
0.0632422,
0.0496691,
0.0345749,
0.0180027,
-2.7689e-08,
-0.0381782,
-0.0775993,
-0.393044,
-5.27077
],
[
12.0296,
0.551964,
0.113914,
0.11184,
0.109698,
0.108582,
0.107429,
0.106232,
0.104983,
0.103671,
0.102278,
0.100782,
0.0991456,
0.0973112,
0.0951737,
0.0925244,
0.0889505,
0.0839438,
0.0772301,
0.0687313,
0.0584391,
0.0463749,
0.0325784,
0.0171008,
-5.55529e-08,
-0.0369648,
-0.075813,
-0.391832,
-5.27067
],
[
12.0202,
0.556652,
0.103679,
0.101365,
0.0992684,
0.0981827,
0.0970671,
0.0959165,
0.0947245,
0.0934824,
0.0921785,
0.0907962,
0.0893112,
0.0876857,
0.0858562,
0.0837046,
0.0809788,
0.0771744,
0.0717521,
0.0644756,
0.0552914,
0.044207,
0.0312593,
0.0165022,
-8.43277e-08,
-0.0361493,
-0.0745941,
-0.39092,
-5.27059
],
[
12.0104,
0.560713,
0.0949384,
0.0905446,
0.0885054,
0.0874567,
0.0863842,
0.085284,
0.0841513,
0.0829798,
0.081761,
0.0804834,
0.0791303,
0.077677,
0.0760842,
0.074284,
0.0721418,
0.0693457,
0.0652815,
0.059403,
0.0515189,
0.0415988,
0.0296675,
0.015778,
-1.38239e-07,
-0.0351591,
-0.0731066,
-0.389762,
-5.27049
],
[
12.0003,
0.562729,
0.0915007,
0.0795201,
0.0775121,
0.0765066,
0.0754825,
0.0744371,
0.0733668,
0.0722671,
0.0711319,
0.0699532,
0.0687196,
0.067415,
0.0660146,
0.0644783,
0.0627336,
0.0606256,
0.057757,
0.0533923,
0.0470092,
0.0384633,
0.0277463,
0.0149014,
-3.45314e-07,
-0.03396,
-0.0713008,
-0.38832,
-5.27036
],
[
11.9899,
0.565391,
0.094719,
0.0688619,
0.0664063,
0.0654499,
0.0644798,
0.063494,
0.0624898,
0.061464,
0.0604125,
0.0593297,
0.0582081,
0.057037,
0.055801,
0.0544759,
0.0530217,
0.0513616,
0.0493133,
0.0463578,
0.0416411,
0.0346969,
0.025424,
0.0138361,
-2.96552e-06,
-0.0325114,
-0.0691183,
-0.386549,
-5.27019
],
[
11.9795,
0.567802,
0.102031,
0.0609451,
0.0553261,
0.054418,
0.0535047,
0.0525805,
0.0516435,
0.0506916,
0.049722,
0.0487309,
0.0477134,
0.0466628,
0.0455696,
0.0444194,
0.04319,
0.0418438,
0.0403013,
0.0383384,
0.0352649,
0.0301364,
0.022565,
0.0124869,
-6.19062e-05,
-0.0308124,
-0.0665369,
-0.384447,
-5.27005
],
[
11.9691,
0.570217,
0.11111,
0.0593827,
0.0444371,
0.0434505,
0.0425405,
0.0416247,
0.0407006,
0.0397665,
0.0388207,
0.0378609,
0.0368842,
0.0358868,
0.0348638,
0.0338114,
0.0327373,
0.0316285,
0.0304227,
0.0290328,
0.0271756,
0.0239343,
0.0183268,
0.0100506,
-0.00092867,
-0.0295471,
-0.0642161,
-0.382706,
-5.27069
],
[
11.959,
0.572877,
0.120867,
0.0633331,
0.0339436,
0.0317133,
0.0303883,
0.0290934,
0.0278,
0.0265038,
0.0252037,
0.0238999,
0.0225945,
0.0212948,
0.0200259,
0.0188655,
0.0178834,
0.0169449,
0.0159702,
0.0149206,
0.0137102,
0.0119601,
0.00847765,
0.00231296,
-0.00676456,
-0.0327997,
-0.0662181,
-0.385445,
-5.2764
],
[
11.9493,
0.576048,
0.130825,
0.0690621,
0.0241074,
0.0159792,
0.0129645,
0.0105307,
0.00821786,
0.0059629,
0.00375385,
0.00159711,
-0.000483068,
-0.00243067,
-0.00412924,
-0.005412,
-0.00633895,
-0.00717618,
-0.00800332,
-0.0088452,
-0.00973076,
-0.0107453,
-0.0124178,
-0.0162347,
-0.0230452,
-0.0459664,
-0.077927,
-0.398158,
-5.29293
],
[
11.9402,
0.580051,
0.140712,
0.0738795,
0.0152837,
-0.00318974,
-0.0115547,
-0.0159185,
-0.0194624,
-0.0227012,
-0.0257451,
-0.0286045,
-0.0312358,
-0.0335387,
-0.035347,
-0.0365406,
-0.0373889,
-0.0381342,
-0.0388387,
-0.0395223,
-0.0401977,
-0.0408841,
-0.0416647,
-0.0433046,
-0.0475163,
-0.0666408,
-0.0968791,
-0.41845,
-5.318
],
[
11.9322,
0.585309,
0.15032,
0.0771876,
0.00798927,
-0.0191009,
-0.0364946,
-0.0449783,
-0.0501538,
-0.0543082,
-0.05795,
-0.0611966,
-0.0640282,
-0.066333,
-0.0679328,
-0.0689132,
-0.0696331,
-0.0702507,
-0.070812,
-0.0713338,
-0.0718247,
-0.0722909,
-0.072743,
-0.0732774,
-0.0750336,
-0.0894975,
-0.117623,
-0.440884,
-5.34619
],
[
11.9256,
0.592438,
0.159623,
0.0800886,
0.00296146,
-0.0300276,
-0.0551759,
-0.0708155,
-0.0790862,
-0.0845325,
-0.088806,
-0.0923453,
-0.0952244,
-0.0973343,
-0.0986111,
-0.0993984,
-0.0999886,
-0.100485,
-0.100924,
-0.101322,
-0.101686,
-0.102022,
-0.102334,
-0.10263,
-0.103172,
-0.112173,
-0.137506,
-0.462798,
-5.37498
],
[
11.9211,
0.602129,
0.168894,
0.0838262,
0.000676381,
-0.0364285,
-0.0668808,
-0.0896232,
-0.103442,
-0.111381,
-0.116766,
-0.120801,
-0.123782,
-0.125696,
-0.126804,
-0.127542,
-0.128119,
-0.12861,
-0.129046,
-0.129443,
-0.129809,
-0.130147,
-0.130462,
-0.130757,
-0.131077,
-0.135101,
-0.155999,
-0.483202,
-5.40354
],
[
11.9195,
0.614324,
0.177731,
0.0880994,
0.000120474,
-0.0400524,
-0.0742422,
-0.102019,
-0.122155,
-0.134521,
-0.142049,
-0.147032,
-0.150263,
-0.152138,
-0.153282,
-0.154108,
-0.154779,
-0.155359,
-0.155878,
-0.156351,
-0.156788,
-0.157193,
-0.157572,
-0.157925,
-0.158263,
-0.159734,
-0.174129,
-0.501871,
-5.43144
],
[
11.9199,
0.627484,
0.185235,
0.0919083,
2.20809e-05,
-0.0425018,
-0.0794263,
-0.110584,
-0.135404,
-0.153005,
-0.164027,
-0.170634,
-0.17438,
-0.176481,
-0.177848,
-0.178883,
-0.179741,
-0.180487,
-0.181155,
-0.181763,
-0.182324,
-0.182843,
-0.183326,
-0.183776,
-0.184199,
-0.185119,
-0.192912,
-0.519058,
-5.45837
],
[
11.9212,
0.640478,
0.191343,
0.0950456,
4.08971e-06,
-0.0443495,
-0.0833317,
-0.116864,
-0.144693,
-0.166265,
-0.181147,
-0.190009,
-0.19473,
-0.197435,
-0.199283,
-0.200718,
-0.201916,
-0.20296,
-0.203891,
-0.204736,
-0.20551,
-0.206224,
-0.206885,
-0.207499,
-0.208072,
-0.209137,
-0.212567,
-0.534802,
-5.48384
],
[
11.9225,
0.652841,
0.196323,
0.0976102,
6.89365e-07,
-0.0458026,
-0.0863737,
-0.121654,
-0.151488,
-0.175508,
-0.193062,
-0.203852,
-0.20973,
-0.213304,
-0.215867,
-0.217911,
-0.219641,
-0.221156,
-0.222513,
-0.223743,
-0.224869,
-0.225906,
-0.226864,
-0.227752,
-0.228578,
-0.230076,
-0.231974,
-0.548515,
-5.50706
],
[
11.9239,
0.664254,
0.200419,
0.0997189,
8.86655e-08,
-0.0469701,
-0.0887944,
-0.125406,
-0.156663,
-0.18221,
-0.201215,
-0.213001,
-0.219629,
-0.223888,
-0.227077,
-0.229697,
-0.231962,
-0.233979,
-0.235809,
-0.237487,
-0.239037,
-0.240475,
-0.241813,
-0.243061,
-0.244227,
-0.246349,
-0.24835,
-0.559013,
-5.5267
],
[
11.9253,
0.674428,
0.203817,
0.101466,
1.72063e-08,
-0.0479213,
-0.0907513,
-0.128405,
-0.16072,
-0.187289,
-0.207073,
-0.219262,
-0.226242,
-0.230875,
-0.234434,
-0.237413,
-0.240027,
-0.242385,
-0.244549,
-0.246555,
-0.248426,
-0.25018,
-0.251828,
-0.253379,
-0.254842,
-0.257533,
-0.259989,
-0.565441,
-5.54147
],
[
11.9267,
0.683146,
0.206657,
0.102922,
1.33875e-08,
-0.0487045,
-0.0923526,
-0.130837,
-0.163967,
-0.191262,
-0.211493,
-0.223832,
-0.230973,
-0.235805,
-0.239571,
-0.242752,
-0.245566,
-0.248121,
-0.25048,
-0.252679,
-0.254742,
-0.256686,
-0.258522,
-0.260261,
-0.26191,
-0.264968,
-0.267768,
-0.56858,
-5.55143
],
[
11.928,
0.690334,
0.209044,
0.104142,
1.41446e-08,
-0.0493546,
-0.0936751,
-0.132833,
-0.166605,
-0.194439,
-0.214943,
-0.22733,
-0.234552,
-0.239502,
-0.243393,
-0.246699,
-0.249635,
-0.252311,
-0.254787,
-0.257103,
-0.259281,
-0.261339,
-0.263288,
-0.265139,
-0.266899,
-0.270177,
-0.273191,
-0.570036,
-5.55803
],
[
11.9305,
0.700647,
0.212758,
0.106034,
1.71032e-08,
-0.0503522,
-0.0956958,
-0.135863,
-0.170572,
-0.199144,
-0.219939,
-0.232318,
-0.239612,
-0.244694,
-0.24873,
-0.252182,
-0.25526,
-0.258074,
-0.260686,
-0.263134,
-0.265442,
-0.267627,
-0.269702,
-0.271676,
-0.273557,
-0.277073,
-0.280321,
-0.571876,
-5.56616
],
[
11.9325,
0.707148,
0.215421,
0.107383,
2.19303e-08,
-0.0510565,
-0.0971185,
-0.137986,
-0.173329,
-0.202371,
-0.223303,
-0.235641,
-0.242966,
-0.248121,
-0.252239,
-0.255774,
-0.258933,
-0.261826,
-0.264515,
-0.267037,
-0.269417,
-0.271673,
-0.273816,
-0.275857,
-0.277804,
-0.281449,
-0.284821,
-0.57448,
-5.57123
],
[
11.9355,
0.716195,
0.219576,
0.109458,
5.25888e-08,
-0.052137,
-0.0993201,
-0.141283,
-0.177609,
-0.207343,
-0.228417,
-0.240666,
-0.248026,
-0.253279,
-0.257512,
-0.261162,
-0.264435,
-0.267438,
-0.270232,
-0.272857,
-0.275337,
-0.277689,
-0.279926,
-0.282058,
-0.284095,
-0.287913,
-0.291454,
-0.581184,
-5.57927
],
[
11.9362,
0.718478,
0.220348,
0.109773,
1.79671e-07,
-0.052335,
-0.0998389,
-0.142191,
-0.178913,
-0.208955,
-0.230129,
-0.242381,
-0.249774,
-0.255075,
-0.259359,
-0.26306,
-0.266381,
-0.26943,
-0.272269,
-0.274937,
-0.277459,
-0.279852,
-0.282129,
-0.2843,
-0.286374,
-0.290265,
-0.293876,
-0.583817,
-5.58234
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000584726,
"miller_cap_rise": 0.000240309,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00410614
],
"index_3": [
0.0257606,
0.0363212,
0.0471797,
0.0589674,
0.0792119
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0123184
],
"index_3": [
0.0320025,
0.0548946,
0.0784617,
0.10446,
0.1450982
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00410614
],
"index_3": [
0.0784503,
0.0919447,
0.1034214,
0.1153798,
0.1356116
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0123184
],
"index_3": [
0.0871518,
0.1116988,
0.1353107,
0.1612807,
0.2022886
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00168767
],
"index_3": [
0.031168,
0.0602714,
0.0890117,
0.1166602,
0.1601623
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.01
],
"index_2": [
0.005063
],
"index_3": [
0.037755,
0.0795919,
0.1220897,
0.1643463,
0.2317354
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00168767
],
"index_3": [
0.0931723,
0.122939,
0.1519031,
0.1788377,
0.2227404
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.005063
],
"index_3": [
0.1007958,
0.1424245,
0.1848994,
0.2273671,
0.2948956
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.898276
],
"index_2": [
1.53387
],
"index_3": [
0.00410614
],
"index_4": [
0.7699336,
0.8152116,
0.8886013,
0.9946063,
1.0635712
],
"values": [
1.53154,
1.28046,
1.11307,
1.28046,
1.53154
]
},
{
"index_1": [
0.99245
],
"index_2": [
0.854989
],
"index_3": [
0.00410614
],
"index_4": [
0.4351345,
0.4686785,
0.5264346,
0.6185407,
0.6763048
],
"values": [
1.44235,
1.13777,
0.934709,
1.13777,
1.44235
]
},
{
"index_1": [
1.08503
],
"index_2": [
0.555798
],
"index_3": [
0.00410614
],
"index_4": [
0.2873378,
0.3139612,
0.3650761,
0.4381575,
0.4882531
],
"values": [
1.40189,
1.07303,
0.853782,
1.07303,
1.40189
]
},
{
"index_1": [
0.898276
],
"index_2": [
0.766934
],
"index_3": [
0.00410614
],
"index_4": [
0.3972137,
0.4204288,
0.4666221,
0.5156826,
0.5610633
],
"values": [
1.75549,
1.63878,
1.56098,
1.63878,
1.75549
]
},
{
"index_1": [
1.08503
],
"index_2": [
0.277899
],
"index_3": [
0.00410614
],
"index_4": [
0.1542443,
0.1685471,
0.1900789,
0.2294361,
0.2660609
],
"values": [
1.70452,
1.55724,
1.45905,
1.55724,
1.70452
]
},
{
"index_1": [
0.99245
],
"index_2": [
0.854989
],
"index_3": [
0.0123184
],
"index_4": [
0.439767,
0.4785236,
0.5419043,
0.6348892,
0.7112122
],
"values": [
1.69417,
1.54067,
1.43833,
1.54067,
1.69417
]
},
{
"index_1": [
1.08503
],
"index_2": [
0.555798
],
"index_3": [
0.0123184
],
"index_4": [
0.2916354,
0.3221804,
0.3825804,
0.4507581,
0.5188135
],
"values": [
1.67604,
1.51167,
1.40209,
1.51167,
1.67604
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.14605
],
"index_2": [
3.12303
],
"index_3": [
0.00168767
],
"index_4": [
1.5062863,
1.5616939,
1.6291051,
1.6756735,
1.7243894
],
"values": [
0.0792159,
0.126745,
0.158432,
0.126745,
0.0792159
]
},
{
"index_1": [
1.25725
],
"index_2": [
1.77795
],
"index_3": [
0.00168767
],
"index_4": [
0.8708044,
0.9124882,
0.9796887,
1.0331937,
1.0704818
],
"values": [
0.221063,
0.353701,
0.442126,
0.353701,
0.221063
]
},
{
"index_1": [
1.35982
],
"index_2": [
1.18451
],
"index_3": [
0.00168767
],
"index_4": [
0.5812756,
0.6230318,
0.6858931,
0.7373444,
0.7721162
],
"values": [
0.324947,
0.519915,
0.649894,
0.519915,
0.324947
]
},
{
"index_1": [
1.14605
],
"index_2": [
1.56152
],
"index_3": [
0.00168767
],
"index_4": [
0.7446506,
0.7836387,
0.819396,
0.855983,
0.8915681
],
"values": [
0.0603475,
0.0965559,
0.120695,
0.0965559,
0.0603475
]
},
{
"index_1": [
1.35982
],
"index_2": [
0.592255
],
"index_3": [
0.00168767
],
"index_4": [
0.2932642,
0.3162411,
0.3449644,
0.3791878,
0.3978783
],
"values": [
0.180219,
0.288351,
0.360439,
0.288351,
0.180219
]
},
{
"index_1": [
1.25725
],
"index_2": [
1.77795
],
"index_3": [
0.005063
],
"index_4": [
0.8699373,
0.9152629,
0.9770776,
1.0436901,
1.085064
],
"values": [
0.171715,
0.274745,
0.343431,
0.274745,
0.171715
]
},
{
"index_1": [
1.35982
],
"index_2": [
1.18451
],
"index_3": [
0.005063
],
"index_4": [
0.5815198,
0.6262442,
0.6881392,
0.7462668,
0.781554
],
"values": [
0.242239,
0.387583,
0.484478,
0.387583,
0.242239
]
}
]
},
"stage_type": "both"
},
"cell_fall del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.00298334,
0.00728736,
0.0178007,
0.0434814,
0.106211
],
"values": [
[
0.0290843,
0.0318907,
0.0387753,
0.055417,
0.0953646,
0.1930914,
0.4312878
],
[
0.0320265,
0.0349693,
0.041885,
0.0586827,
0.0989079,
0.1967244,
0.4362003
],
[
0.0369224,
0.0402368,
0.0476441,
0.0644616,
0.1048029,
0.2025946,
0.440918
],
[
0.040243,
0.0448237,
0.0548811,
0.0756542,
0.1179184,
0.2159578,
0.4584119
],
[
0.0336847,
0.0402426,
0.0550899,
0.0847318,
0.1399462,
0.24611,
0.4858769
],
[
-0.0035429,
0.0067562,
0.0290054,
0.0731036,
0.1536767,
0.2936291,
0.5532547
],
[
-0.1186861,
-0.1025613,
-0.0686112,
-0.0032527,
0.1160673,
0.3207999,
0.6647955
]
]
},
"cell_rise del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.00298334,
0.00728736,
0.0178007,
0.0434814,
0.106211
],
"values": [
[
0.0727143,
0.0799556,
0.0976509,
0.1391204,
0.2394379,
0.482764,
1.0759391
],
[
0.0763539,
0.0836703,
0.1012368,
0.1430124,
0.2436755,
0.487327,
1.0809262
],
[
0.0872986,
0.0942964,
0.1119978,
0.1539014,
0.2548054,
0.4988634,
1.0920895
],
[
0.1155941,
0.1226172,
0.1398396,
0.1811831,
0.2812042,
0.5256393,
1.1192284
],
[
0.174536,
0.183656,
0.2044219,
0.2477194,
0.3467203,
0.5893424,
1.1862745
],
[
0.2782501,
0.2894023,
0.3190911,
0.3800249,
0.5011015,
0.7411161,
1.3312534
],
[
0.4691514,
0.4861035,
0.5245203,
0.6090271,
0.7798266,
1.0928048,
1.6808913
]
]
},
"fall_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.00298334,
0.00728736,
0.0178007,
0.0434814,
0.106211
],
"values": [
[
0.0177601,
0.0213485,
0.030224,
0.0517471,
0.1048758,
0.2334887,
0.5497069
],
[
0.0178329,
0.0214339,
0.0302371,
0.0517562,
0.1047061,
0.2342237,
0.5495369
],
[
0.0207444,
0.0237136,
0.0315954,
0.0524147,
0.1047415,
0.2335884,
0.5497686
],
[
0.0309072,
0.0339679,
0.0415049,
0.0600307,
0.1078002,
0.234177,
0.5515638
],
[
0.0507188,
0.0548003,
0.0642328,
0.0843678,
0.1293642,
0.2429891,
0.5536518
],
[
0.0874435,
0.092913,
0.1051176,
0.1321066,
0.1854043,
0.2975618,
0.5701443
],
[
0.1606474,
0.1671101,
0.1826142,
0.2173767,
0.2890267,
0.4257584,
0.6969669
]
]
},
"related_pin": "A2",
"rise_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.00298334,
0.00728736,
0.0178007,
0.0434814,
0.106211
],
"values": [
[
0.0714907,
0.0812442,
0.1045486,
0.1616559,
0.3008076,
0.6395671,
1.4663831
],
[
0.0715036,
0.0811436,
0.1049626,
0.1615185,
0.3010292,
0.6392198,
1.4646818
],
[
0.0715609,
0.0813039,
0.104593,
0.1616028,
0.300437,
0.6398747,
1.4654512
],
[
0.0755299,
0.0842884,
0.1061419,
0.1616778,
0.3010163,
0.6392579,
1.4628424
],
[
0.1024868,
0.111037,
0.1303127,
0.1762658,
0.3035144,
0.6395489,
1.4646551
],
[
0.1472022,
0.1619464,
0.1876423,
0.2424152,
0.3516721,
0.6494499,
1.4667062
],
[
0.2168222,
0.2337589,
0.2713848,
0.3514359,
0.499969,
0.7764546,
1.4909508
]
]
},
"timing_sense": "negative_unate",
"timing_type": "combinational"
},
{
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
12.5031,
0.896186,
0.515017,
0.494609,
0.469855,
0.455763,
0.440541,
0.424206,
0.406775,
0.388269,
0.36871,
0.348123,
0.326533,
0.303968,
0.280457,
0.256029,
0.230715,
0.204544,
0.177549,
0.149758,
0.121208,
0.0919257,
0.0619448,
0.0312924,
-1.09437e-08,
-0.0617421,
-0.121104,
-0.467251,
-5.27834
],
[
12.3368,
0.748224,
0.386768,
0.377058,
0.366301,
0.360368,
0.353927,
0.346819,
0.338819,
0.32963,
0.318947,
0.30659,
0.292514,
0.276725,
0.259242,
0.240091,
0.219308,
0.196932,
0.173008,
0.147587,
0.120723,
0.092472,
0.062894,
0.0320495,
-3.99929e-08,
-0.0641198,
-0.126364,
-0.479457,
-5.27996
],
[
12.2098,
0.6344,
0.274174,
0.267635,
0.260723,
0.257082,
0.253287,
0.2493,
0.245075,
0.240545,
0.235613,
0.230132,
0.223872,
0.216474,
0.207466,
0.196453,
0.183258,
0.167835,
0.150188,
0.130342,
0.10834,
0.0842341,
0.0580913,
0.0299858,
-1.05727e-07,
-0.0615985,
-0.122853,
-0.47714,
-5.27982
],
[
12.1667,
0.613705,
0.232648,
0.226951,
0.220998,
0.217897,
0.214693,
0.211365,
0.207884,
0.204214,
0.200301,
0.196068,
0.191398,
0.186105,
0.179877,
0.172227,
0.162605,
0.150672,
0.136315,
0.119511,
0.100275,
0.0786449,
0.0546741,
0.0284319,
-1.44153e-07,
-0.0593898,
-0.119387,
-0.473387,
-5.27949
],
[
12.123,
0.607105,
0.19014,
0.1852,
0.180112,
0.177487,
0.174797,
0.172029,
0.169166,
0.166188,
0.163065,
0.159759,
0.156212,
0.152335,
0.147986,
0.142919,
0.136694,
0.128651,
0.118225,
0.105177,
0.0894435,
0.0710201,
0.0499348,
0.026238,
-1.90705e-07,
-0.0561636,
-0.114223,
-0.467444,
-5.27894
],
[
12.1011,
0.605206,
0.169058,
0.164212,
0.159529,
0.157125,
0.154669,
0.152153,
0.149565,
0.146888,
0.144103,
0.14118,
0.138079,
0.134741,
0.131072,
0.126915,
0.121982,
0.115735,
0.10742,
0.0965107,
0.0828225,
0.0663089,
0.046974,
0.0248516,
-2.15571e-07,
-0.0540876,
-0.110871,
-0.463482,
-5.27858
],
[
12.0794,
0.603013,
0.150053,
0.143343,
0.13905,
0.136857,
0.134625,
0.132347,
0.130016,
0.127618,
0.125139,
0.12256,
0.119853,
0.116976,
0.11387,
0.110435,
0.106497,
0.101713,
0.0954113,
0.0867625,
0.0753131,
0.0609249,
0.0435649,
0.0232431,
-2.45e-07,
-0.0516542,
-0.106924,
-0.458757,
-5.27814
],
[
12.0579,
0.602088,
0.137574,
0.12281,
0.118854,
0.116865,
0.114847,
0.112797,
0.110707,
0.10857,
0.106375,
0.104109,
0.101752,
0.0992776,
0.0966468,
0.0937981,
0.0906285,
0.086943,
0.0823179,
0.0759099,
0.066863,
0.0548162,
0.0396665,
0.0213893,
-4.00347e-07,
-0.0488239,
-0.102318,
-0.453184,
-5.27762
],
[
12.037,
0.601625,
0.133789,
0.103284,
0.0991519,
0.0973606,
0.0955507,
0.0937186,
0.0918598,
0.0899689,
0.0880387,
0.08606,
0.0840203,
0.0819023,
0.0796812,
0.0773199,
0.0747583,
0.0718902,
0.068495,
0.0640265,
0.057443,
0.047937,
0.0352379,
0.0192645,
-3.46707e-06,
-0.0455581,
-0.0969879,
-0.446679,
-5.277
],
[
12.0168,
0.601819,
0.135705,
0.0874078,
0.0801892,
0.0785851,
0.0769747,
0.0753511,
0.0737114,
0.072052,
0.0703683,
0.0686543,
0.0669021,
0.0651012,
0.0632364,
0.0612858,
0.0592158,
0.0569711,
0.0544454,
0.0513802,
0.0470408,
0.040207,
0.0301903,
0.0167896,
-6.70024e-05,
-0.041873,
-0.0909212,
-0.439211,
-5.27635
],
[
11.9976,
0.602763,
0.140354,
0.0796181,
0.0622554,
0.0607007,
0.059226,
0.057747,
0.0562603,
0.0547635,
0.0532539,
0.051728,
0.0501812,
0.0486076,
0.046999,
0.0453459,
0.043646,
0.0418861,
0.0399891,
0.0378437,
0.0351373,
0.0308666,
0.0237161,
0.0131508,
-0.000990668,
-0.0385352,
-0.0848627,
-0.43152,
-5.27652
],
[
11.9796,
0.604627,
0.146337,
0.0791686,
0.0456918,
0.0430206,
0.0412716,
0.0395606,
0.0378591,
0.0361618,
0.0344667,
0.0327726,
0.0310786,
0.0293862,
0.0277066,
0.0260935,
0.0246443,
0.023244,
0.021794,
0.0202446,
0.018493,
0.0161374,
0.0118391,
0.00431913,
-0.00681729,
-0.0395896,
-0.0828634,
-0.427731,
-5.28186
],
[
11.9632,
0.607609,
0.152906,
0.0810163,
0.0309056,
0.0227868,
0.0197205,
0.0171788,
0.0147595,
0.0124055,
0.0101017,
0.00784636,
0.00564774,
0.00353307,
0.00157937,
-3.86156e-05,
-0.00128632,
-0.00242967,
-0.00356312,
-0.00471601,
-0.00592214,
-0.00727513,
-0.00931599,
-0.0137255,
-0.0216068,
-0.0490934,
-0.0889763,
-0.431978,
-5.29671
],
[
11.9488,
0.611949,
0.159393,
0.0818779,
0.0183981,
0.000710502,
-0.00632152,
-0.01012,
-0.0133154,
-0.0162799,
-0.0190998,
-0.0217936,
-0.024344,
-0.0266874,
-0.0286757,
-0.0301028,
-0.0311399,
-0.0320582,
-0.0329316,
-0.0337823,
-0.0346239,
-0.0354761,
-0.0364194,
-0.0382024,
-0.0427267,
-0.0643734,
-0.10047,
-0.441556,
-5.31811
],
[
11.9369,
0.617987,
0.165322,
0.0819882,
0.00881633,
-0.0173007,
-0.03175,
-0.038036,
-0.0421446,
-0.0456119,
-0.0487562,
-0.0516577,
-0.0543055,
-0.0566086,
-0.0583612,
-0.0594753,
-0.0602789,
-0.0609689,
-0.0616008,
-0.062194,
-0.0627576,
-0.0632981,
-0.0638254,
-0.0644203,
-0.0661669,
-0.0814771,
-0.113352,
-0.452668,
-5.34182
],
[
11.9279,
0.626137,
0.171128,
0.0830642,
0.00290241,
-0.0292165,
-0.051316,
-0.0625656,
-0.0682636,
-0.0723626,
-0.0758066,
-0.0788327,
-0.0814673,
-0.0835932,
-0.0850019,
-0.0858516,
-0.0864731,
-0.0869985,
-0.0874717,
-0.0879106,
-0.088324,
-0.0887174,
-0.0890944,
-0.0894623,
-0.0900484,
-0.0989671,
-0.126102,
-0.463912,
-5.36591
],
[
11.9226,
0.636719,
0.177725,
0.08606,
0.000598854,
-0.03582,
-0.0638299,
-0.0817578,
-0.0906492,
-0.0959256,
-0.0999055,
-0.103186,
-0.105877,
-0.107845,
-0.109035,
-0.109795,
-0.110381,
-0.110887,
-0.111347,
-0.111778,
-0.112187,
-0.112581,
-0.112961,
-0.113331,
-0.11373,
-0.117633,
-0.138956,
-0.475139,
-5.38979
],
[
11.9211,
0.648613,
0.184474,
0.0898588,
0.00010415,
-0.03956,
-0.0719276,
-0.0959241,
-0.109993,
-0.117473,
-0.122397,
-0.126117,
-0.128925,
-0.130769,
-0.131881,
-0.132657,
-0.133286,
-0.133839,
-0.134347,
-0.134824,
-0.135279,
-0.135718,
-0.136143,
-0.136556,
-0.136966,
-0.138502,
-0.152714,
-0.486499,
-5.41327
],
[
11.9219,
0.659533,
0.190286,
0.0932465,
1.90827e-05,
-0.0421325,
-0.0777298,
-0.106205,
-0.12602,
-0.137171,
-0.143661,
-0.148031,
-0.150977,
-0.152761,
-0.15389,
-0.154731,
-0.155434,
-0.156059,
-0.156635,
-0.157178,
-0.157695,
-0.158193,
-0.158674,
-0.159143,
-0.1596,
-0.160617,
-0.168125,
-0.498137,
-5.43627
],
[
11.9233,
0.668437,
0.195053,
0.0960349,
3.52254e-06,
-0.0440823,
-0.0821179,
-0.113796,
-0.138244,
-0.154164,
-0.16321,
-0.168585,
-0.171773,
-0.173632,
-0.174873,
-0.175834,
-0.176649,
-0.177378,
-0.17805,
-0.178681,
-0.179281,
-0.179856,
-0.180411,
-0.180949,
-0.181472,
-0.182508,
-0.185733,
-0.510121,
-5.45873
],
[
11.9246,
0.675334,
0.198963,
0.0983161,
5.84379e-07,
-0.0456159,
-0.0855323,
-0.119562,
-0.147249,
-0.167557,
-0.180116,
-0.187062,
-0.190771,
-0.192923,
-0.19441,
-0.195583,
-0.196583,
-0.197474,
-0.198291,
-0.199054,
-0.199774,
-0.200461,
-0.201119,
-0.201754,
-0.202369,
-0.203555,
-0.205192,
-0.522426,
-5.48056
],
[
11.9258,
0.680597,
0.202197,
0.100194,
7.37781e-08,
-0.0468466,
-0.0882422,
-0.124051,
-0.153998,
-0.177426,
-0.193409,
-0.202417,
-0.207081,
-0.209838,
-0.211787,
-0.213334,
-0.214649,
-0.215812,
-0.216868,
-0.217844,
-0.218757,
-0.219618,
-0.220437,
-0.22122,
-0.221972,
-0.223404,
-0.224863,
-0.534922,
-5.50165
],
[
11.9267,
0.684645,
0.204889,
0.101752,
1.61339e-08,
-0.0478481,
-0.090426,
-0.127615,
-0.1592,
-0.184677,
-0.20302,
-0.213844,
-0.21969,
-0.223331,
-0.225987,
-0.228125,
-0.229946,
-0.231552,
-0.233,
-0.234326,
-0.235555,
-0.236704,
-0.237784,
-0.238806,
-0.239778,
-0.241602,
-0.24333,
-0.547349,
-5.52182
],
[
11.9274,
0.687812,
0.207145,
0.103052,
1.33421e-08,
-0.0486717,
-0.0922075,
-0.130488,
-0.163306,
-0.190164,
-0.209878,
-0.221761,
-0.228497,
-0.232945,
-0.236333,
-0.23914,
-0.241579,
-0.243759,
-0.245741,
-0.247565,
-0.249256,
-0.250835,
-0.252315,
-0.253708,
-0.255026,
-0.257468,
-0.259721,
-0.559272,
-5.54076
],
[
11.928,
0.690334,
0.209044,
0.104142,
1.41446e-08,
-0.0493546,
-0.0936751,
-0.132833,
-0.166605,
-0.194439,
-0.214943,
-0.22733,
-0.234552,
-0.239502,
-0.243393,
-0.246699,
-0.249635,
-0.252311,
-0.254787,
-0.257103,
-0.259281,
-0.261339,
-0.263288,
-0.265139,
-0.266899,
-0.270177,
-0.273191,
-0.570036,
-5.55803
],
[
11.9288,
0.694028,
0.211997,
0.105832,
1.71044e-08,
-0.0504017,
-0.0959114,
-0.136372,
-0.171504,
-0.200605,
-0.22189,
-0.234614,
-0.242222,
-0.24762,
-0.251976,
-0.255758,
-0.259182,
-0.262359,
-0.265356,
-0.26821,
-0.270949,
-0.27359,
-0.276144,
-0.27862,
-0.281027,
-0.285652,
-0.290069,
-0.585406,
-5.5851
],
[
11.9294,
0.696496,
0.2141,
0.107033,
2.19312e-08,
-0.0511408,
-0.0974835,
-0.138839,
-0.174873,
-0.204739,
-0.226363,
-0.239154,
-0.246898,
-0.252476,
-0.257024,
-0.261,
-0.26462,
-0.267995,
-0.271192,
-0.274252,
-0.2772,
-0.280057,
-0.282834,
-0.285542,
-0.288188,
-0.293326,
-0.298307,
-0.593583,
-5.59991
],
[
11.93,
0.699743,
0.217253,
0.108848,
5.25894e-08,
-0.0522814,
-0.0999349,
-0.142696,
-0.180109,
-0.211049,
-0.232993,
-0.245763,
-0.253628,
-0.259397,
-0.264153,
-0.268339,
-0.272165,
-0.275743,
-0.279139,
-0.282395,
-0.285537,
-0.288586,
-0.291553,
-0.294449,
-0.297283,
-0.302792,
-0.308143,
-0.604179,
-5.61329
],
[
11.9296,
0.698522,
0.217297,
0.108979,
1.79672e-07,
-0.052523,
-0.100627,
-0.143977,
-0.182028,
-0.213487,
-0.235603,
-0.248392,
-0.256322,
-0.262177,
-0.267023,
-0.271296,
-0.275207,
-0.278867,
-0.282342,
-0.285675,
-0.288891,
-0.292011,
-0.295047,
-0.298009,
-0.300906,
-0.306532,
-0.31199,
-0.608282,
-5.61772
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000548091,
"miller_cap_rise": 0.000479919,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00410614
],
"index_3": [
0.02044,
0.0309809,
0.0417889,
0.0538932,
0.0739362
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0123184
],
"index_3": [
0.0266587,
0.0495505,
0.0731348,
0.0993614,
0.1399183
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00410614
],
"index_3": [
0.0712302,
0.0866865,
0.0989916,
0.1111,
0.1313167
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0123184
],
"index_3": [
0.0815817,
0.1076227,
0.131219,
0.1573782,
0.1980107
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00277095
],
"index_3": [
0.0231512,
0.0385752,
0.0550629,
0.0728224,
0.101616
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.01
],
"index_2": [
0.00831284
],
"index_3": [
0.0296696,
0.0579173,
0.0882257,
0.1217936,
0.1766303
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00277095
],
"index_3": [
0.0858049,
0.1049569,
0.1213896,
0.1389612,
0.1677069
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00831284
],
"index_3": [
0.0954621,
0.124589,
0.1549168,
0.1884479,
0.2433419
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.921812
],
"index_2": [
1.21935
],
"index_3": [
0.00410614
],
"index_4": [
0.6048815,
0.6452569,
0.7164905,
0.7879568,
0.8410309
],
"values": [
1.55674,
1.32079,
1.16349,
1.32079,
1.55674
]
},
{
"index_1": [
1.03176
],
"index_2": [
0.671905
],
"index_3": [
0.00410614
],
"index_4": [
0.3370421,
0.367416,
0.4218646,
0.4831234,
0.5258661
],
"values": [
1.45943,
1.16509,
0.968868,
1.16509,
1.45943
]
},
{
"index_1": [
1.1413
],
"index_2": [
0.435134
],
"index_3": [
0.00410614
],
"index_4": [
0.2208761,
0.2454979,
0.2839257,
0.3408632,
0.3757526
],
"values": [
1.4189,
1.10024,
0.887801,
1.10024,
1.4189
]
},
{
"index_1": [
0.921812
],
"index_2": [
0.609675
],
"index_3": [
0.00410614
],
"index_4": [
0.308124,
0.3291111,
0.3641929,
0.4050685,
0.440526
],
"values": [
1.74935,
1.62896,
1.54871,
1.62896,
1.74935
]
},
{
"index_1": [
1.1413
],
"index_2": [
0.217567
],
"index_3": [
0.00410614
],
"index_4": [
0.1158439,
0.1286056,
0.1483048,
0.1779758,
0.2015479
],
"values": [
1.69602,
1.54364,
1.44204,
1.54364,
1.69602
]
},
{
"index_1": [
1.03176
],
"index_2": [
0.671905
],
"index_3": [
0.0123184
],
"index_4": [
0.3397488,
0.3736502,
0.440359,
0.5009522,
0.555356
],
"values": [
1.70994,
1.56591,
1.46989,
1.56591,
1.70994
]
},
{
"index_1": [
1.1413
],
"index_2": [
0.435134
],
"index_3": [
0.0123184
],
"index_4": [
0.2233358,
0.2508691,
0.2925346,
0.3536107,
0.3996766
],
"values": [
1.6945,
1.54119,
1.43899,
1.54119,
1.6945
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.20374
],
"index_2": [
1.80704
],
"index_3": [
0.00277095
],
"index_4": [
0.8849176,
0.9199692,
0.9756136,
1.022915,
1.0621194
],
"values": [
0.19888,
0.318208,
0.39776,
0.318208,
0.19888
]
},
{
"index_1": [
1.3166
],
"index_2": [
1.04515
],
"index_3": [
0.00277095
],
"index_4": [
0.5133741,
0.5452749,
0.5878808,
0.6455497,
0.677756
],
"values": [
0.337938,
0.540701,
0.675877,
0.540701,
0.337938
]
},
{
"index_1": [
1.41822
],
"index_2": [
0.706137
],
"index_3": [
0.00277095
],
"index_4": [
0.3487332,
0.3778521,
0.4230689,
0.4654678,
0.4934924
],
"values": [
0.416981,
0.667169,
0.833962,
0.667169,
0.416981
]
},
{
"index_1": [
1.20374
],
"index_2": [
0.903518
],
"index_3": [
0.00277095
],
"index_4": [
0.4436031,
0.4639737,
0.4952388,
0.5249703,
0.5474872
],
"values": [
0.123299,
0.197278,
0.246598,
0.197278,
0.123299
]
},
{
"index_1": [
1.41822
],
"index_2": [
0.353069
],
"index_3": [
0.00277095
],
"index_4": [
0.1778154,
0.1932289,
0.2174824,
0.2395923,
0.2552818
],
"values": [
0.228468,
0.365549,
0.456936,
0.365549,
0.228468
]
},
{
"index_1": [
1.3166
],
"index_2": [
1.04515
],
"index_3": [
0.00831284
],
"index_4": [
0.5147807,
0.550235,
0.5971692,
0.6580712,
0.6933874
],
"values": [
0.210246,
0.336394,
0.420492,
0.336394,
0.210246
]
},
{
"index_1": [
1.41822
],
"index_2": [
0.706137
],
"index_3": [
0.00831284
],
"index_4": [
0.3498773,
0.3814953,
0.4275859,
0.4755155,
0.5060025
],
"values": [
0.251638,
0.40262,
0.503275,
0.40262,
0.251638
]
}
]
},
"stage_type": "both"
},
"cell_fall del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.00298334,
0.00728736,
0.0178007,
0.0434814,
0.106211
],
"values": [
[
0.0218262,
0.024418,
0.0306289,
0.0457239,
0.0823811,
0.1711652,
0.3870115
],
[
0.0247876,
0.0274068,
0.0337409,
0.0488344,
0.0855198,
0.1743718,
0.3893825
],
[
0.0293635,
0.032794,
0.0404076,
0.0560583,
0.092826,
0.1829106,
0.3971329
],
[
0.0316023,
0.0366452,
0.0474453,
0.0688892,
0.109886,
0.1989921,
0.4161849
],
[
0.0233789,
0.0309153,
0.0469486,
0.0785174,
0.1360167,
0.2382754,
0.4558952
],
[
-0.0131591,
-0.0022949,
0.0209474,
0.0670887,
0.1513684,
0.2965174,
0.5483469
],
[
-0.1210747,
-0.1054349,
-0.0717851,
-0.0051724,
0.1169837,
0.3293617,
0.6860441
]
]
},
"cell_rise del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.00298334,
0.00728736,
0.0178007,
0.0434814,
0.106211
],
"values": [
[
0.0369192,
0.0414072,
0.0522318,
0.0780306,
0.140358,
0.291976,
0.6612151
],
[
0.0415977,
0.0461034,
0.0567582,
0.0828556,
0.1452948,
0.2970095,
0.6667261
],
[
0.0543338,
0.0587228,
0.069357,
0.0953402,
0.1575147,
0.3091274,
0.6788303
],
[
0.0824702,
0.0880015,
0.0999631,
0.1251725,
0.1868078,
0.3390216,
0.7085751
],
[
0.129598,
0.1380924,
0.1563025,
0.1926817,
0.2587575,
0.4099769,
0.7783354
],
[
0.213404,
0.2250192,
0.2515073,
0.3052552,
0.4055264,
0.5754638,
0.9407958
],
[
0.3801391,
0.3957456,
0.4308286,
0.5055064,
0.6517226,
0.9060476,
1.3223025
]
]
},
"fall_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.00298334,
0.00728736,
0.0178007,
0.0434814,
0.106211
],
"values": [
[
0.0156229,
0.0188858,
0.0269554,
0.0462152,
0.0942209,
0.2108345,
0.4958724
],
[
0.016127,
0.0192011,
0.0269961,
0.046808,
0.0960061,
0.2113753,
0.4954507
],
[
0.0212538,
0.0239759,
0.0304444,
0.0479126,
0.0939486,
0.2118334,
0.4960929
],
[
0.0325066,
0.0358678,
0.0434062,
0.0597812,
0.1002513,
0.2135424,
0.4951029
],
[
0.0521192,
0.0566674,
0.0667652,
0.0883332,
0.1301852,
0.226659,
0.5012587
],
[
0.0872894,
0.0932686,
0.1072817,
0.1361079,
0.1928101,
0.2983459,
0.5349422
],
[
0.1528926,
0.1616384,
0.1804957,
0.2198801,
0.2977384,
0.4384995,
0.6962722
]
]
},
"related_pin": "B1",
"rise_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.00298334,
0.00728736,
0.0178007,
0.0434814,
0.106211
],
"values": [
[
0.0335888,
0.0396306,
0.0546591,
0.0907602,
0.1796732,
0.3961209,
0.9240175
],
[
0.0336234,
0.0396851,
0.0546356,
0.0908738,
0.1795764,
0.3955092,
0.9240525
],
[
0.0350836,
0.0405845,
0.0546411,
0.0911998,
0.179944,
0.3965052,
0.9255605
],
[
0.0485273,
0.0528302,
0.0640571,
0.0946869,
0.1798768,
0.3971584,
0.925361
],
[
0.0740272,
0.0809857,
0.0954802,
0.1239912,
0.1939987,
0.3964169,
0.9268797
],
[
0.1128496,
0.1228344,
0.1461874,
0.1894934,
0.2679682,
0.4337685,
0.9261469
],
[
0.1797754,
0.1937519,
0.2241261,
0.2886779,
0.4094633,
0.6028639,
1.0119477
]
]
},
"timing_sense": "negative_unate",
"timing_type": "combinational"
},
{
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
12.2156,
0.871428,
0.525802,
0.506063,
0.481947,
0.468072,
0.45299,
0.436712,
0.419254,
0.400634,
0.380871,
0.359988,
0.338011,
0.314965,
0.290881,
0.265789,
0.23972,
0.212706,
0.184781,
0.155978,
0.126333,
0.0958778,
0.0646483,
0.0326779,
-8.14948e-09,
-0.0644106,
-0.126205,
-0.443267,
-5.30067
],
[
12.0479,
0.719642,
0.392858,
0.38323,
0.372608,
0.366773,
0.360461,
0.353526,
0.345758,
0.336866,
0.326507,
0.31443,
0.300541,
0.284832,
0.26731,
0.247993,
0.226908,
0.20409,
0.179579,
0.153425,
0.125679,
0.0964004,
0.065651,
0.0334953,
-3.94285e-08,
-0.0670061,
-0.131941,
-0.455524,
-5.30421
],
[
11.9936,
0.610696,
0.278346,
0.271807,
0.264901,
0.261268,
0.257484,
0.253513,
0.249312,
0.244816,
0.239933,
0.234525,
0.228375,
0.221136,
0.212326,
0.201484,
0.188371,
0.172908,
0.155076,
0.134883,
0.112357,
0.0875416,
0.0604945,
0.0312867,
-1.14868e-07,
-0.0643683,
-0.12835,
-0.453559,
-5.30397
],
[
11.9769,
0.607116,
0.236294,
0.230585,
0.224622,
0.221518,
0.218312,
0.214983,
0.211505,
0.20784,
0.203938,
0.199725,
0.195088,
0.189849,
0.18371,
0.176189,
0.166696,
0.154823,
0.140406,
0.123396,
0.103785,
0.0815906,
0.0568531,
0.029631,
-1.60634e-07,
-0.06204,
-0.124734,
-0.450157,
-5.30333
],
[
11.9581,
0.614975,
0.193307,
0.188348,
0.183239,
0.180604,
0.177903,
0.175125,
0.172252,
0.169265,
0.166135,
0.162822,
0.159272,
0.155396,
0.151058,
0.146019,
0.13985,
0.131877,
0.121472,
0.108336,
0.0923657,
0.0735302,
0.0518327,
0.027304,
-2.18068e-07,
-0.0586404,
-0.119335,
-0.444768,
-5.30226
],
[
11.9483,
0.618374,
0.17198,
0.167132,
0.162424,
0.160007,
0.157539,
0.155009,
0.152408,
0.149717,
0.146918,
0.143982,
0.140869,
0.13752,
0.133843,
0.129683,
0.124761,
0.118546,
0.110257,
0.0992986,
0.0854338,
0.0685804,
0.0487127,
0.0258398,
-2.50134e-07,
-0.0564558,
-0.115827,
-0.441187,
-5.30153
],
[
11.9384,
0.621142,
0.152694,
0.146043,
0.141721,
0.139514,
0.137267,
0.134974,
0.132626,
0.130211,
0.127716,
0.125119,
0.122393,
0.119497,
0.116372,
0.112918,
0.108963,
0.10417,
0.0978708,
0.0891916,
0.0776129,
0.0629503,
0.0451353,
0.0241471,
-2.90457e-07,
-0.0538985,
-0.1117,
-0.436933,
-5.30064
],
[
11.9286,
0.623733,
0.1405,
0.125289,
0.121307,
0.119302,
0.117268,
0.1152,
0.113093,
0.110937,
0.108722,
0.106435,
0.104056,
0.101558,
0.098902,
0.096026,
0.0928264,
0.0891089,
0.0844529,
0.0780074,
0.0688614,
0.0565953,
0.0410633,
0.022204,
-5.15616e-07,
-0.0509293,
-0.106885,
-0.431943,
-5.2996
],
[
11.919,
0.626377,
0.138963,
0.105515,
0.101389,
0.0995801,
0.0977525,
0.0959019,
0.0940239,
0.0921129,
0.0901615,
0.0881603,
0.0860967,
0.083953,
0.081704,
0.0793118,
0.0767152,
0.0738064,
0.0703628,
0.0658361,
0.0591627,
0.0494779,
0.0364602,
0.0199858,
-4.97554e-06,
-0.0475123,
-0.10132,
-0.42616,
-5.29837
],
[
11.9099,
0.629218,
0.144516,
0.0893995,
0.0822074,
0.0805861,
0.0789574,
0.0773148,
0.0756554,
0.0739753,
0.0722699,
0.070533,
0.0687565,
0.0669295,
0.0650364,
0.0630546,
0.0609491,
0.0586617,
0.0560816,
0.0529464,
0.0485092,
0.0415157,
0.031227,
0.0173952,
-9.71814e-05,
-0.0437008,
-0.0950387,
-0.419661,
-5.29717
],
[
11.9012,
0.632389,
0.152848,
0.0822972,
0.0640486,
0.0624878,
0.0609996,
0.0595064,
0.0580048,
0.0564925,
0.0549665,
0.0534234,
0.0518581,
0.0502644,
0.0486334,
0.0469524,
0.0452024,
0.0433532,
0.0413519,
0.0390872,
0.036234,
0.0317592,
0.0243138,
0.013321,
-0.00142928,
-0.0407208,
-0.0893505,
-0.414126,
-5.29846
],
[
11.8934,
0.635977,
0.161634,
0.0837411,
0.0472481,
0.0446724,
0.0429512,
0.0412665,
0.0395925,
0.0379242,
0.036259,
0.0345947,
0.0329285,
0.031257,
0.0295756,
0.0278776,
0.0261537,
0.0243895,
0.022561,
0.0206236,
0.0184683,
0.0156655,
0.0108289,
0.00266098,
-0.00927409,
-0.0441483,
-0.0901249,
-0.416383,
-5.31083
],
[
11.8865,
0.640015,
0.16918,
0.0864419,
0.0322067,
0.0247102,
0.0219183,
0.0195602,
0.0173113,
0.0151233,
0.0129806,
0.0108759,
0.00880443,
0.00676262,
0.00474683,
0.00275309,
0.000776404,
-0.00119003,
-0.00315635,
-0.00513949,
-0.00717334,
-0.00936204,
-0.0123017,
-0.0177262,
-0.0267408,
-0.0569396,
-0.100151,
-0.42881,
-5.33587
],
[
11.8807,
0.644471,
0.174221,
0.0866293,
0.0194167,
0.00307393,
-0.002656,
-0.00590725,
-0.00871909,
-0.0113581,
-0.0138936,
-0.0163526,
-0.0187482,
-0.0210877,
-0.0233759,
-0.0256165,
-0.0278125,
-0.0299673,
-0.0320848,
-0.0341708,
-0.0362348,
-0.0382979,
-0.0404561,
-0.0435501,
-0.0495156,
-0.0744418,
-0.114433,
-0.445615,
-5.36607
],
[
11.8768,
0.6493,
0.177151,
0.085672,
0.00951332,
-0.0151571,
-0.0267847,
-0.0315875,
-0.0349766,
-0.0379502,
-0.0407235,
-0.0433705,
-0.0459237,
-0.0484001,
-0.0508096,
-0.0531582,
-0.05545,
-0.0576882,
-0.0598755,
-0.0620145,
-0.0641086,
-0.0661626,
-0.0681883,
-0.0702874,
-0.0736605,
-0.0926968,
-0.1289,
-0.462635,
-5.39642
],
[
11.8754,
0.654628,
0.179917,
0.0857229,
0.00324876,
-0.0276455,
-0.0463887,
-0.0543466,
-0.0586053,
-0.0619364,
-0.0648994,
-0.0676627,
-0.0702957,
-0.0728328,
-0.0752934,
-0.0776896,
-0.0800292,
-0.0823179,
-0.0845598,
-0.0867585,
-0.0889172,
-0.091039,
-0.0931282,
-0.0951944,
-0.0975035,
-0.110414,
-0.142167,
-0.478396,
-5.42479
],
[
11.8774,
0.660853,
0.184114,
0.0879026,
0.000691682,
-0.0347597,
-0.0598028,
-0.072945,
-0.0788785,
-0.0828304,
-0.0861196,
-0.0890967,
-0.0918926,
-0.0945683,
-0.0971564,
-0.0996764,
-0.102141,
-0.104557,
-0.106931,
-0.109268,
-0.11157,
-0.113842,
-0.116086,
-0.118306,
-0.120552,
-0.128481,
-0.154604,
-0.492731,
-5.45056
],
[
11.8831,
0.667619,
0.18918,
0.0911853,
0.000120297,
-0.0388041,
-0.0688261,
-0.0880577,
-0.097056,
-0.102085,
-0.105905,
-0.109221,
-0.112268,
-0.115149,
-0.117917,
-0.1206,
-0.123217,
-0.12578,
-0.128298,
-0.130775,
-0.133218,
-0.135629,
-0.138012,
-0.140372,
-0.14272,
-0.148232,
-0.167242,
-0.505898,
-5.47372
],
[
11.891,
0.673538,
0.19378,
0.094226,
2.19574e-05,
-0.0415784,
-0.0754113,
-0.100091,
-0.113671,
-0.120433,
-0.124993,
-0.128727,
-0.132058,
-0.135154,
-0.138096,
-0.140929,
-0.14368,
-0.146365,
-0.148997,
-0.151583,
-0.154129,
-0.156641,
-0.159123,
-0.161579,
-0.164015,
-0.168995,
-0.181061,
-0.518193,
-5.49443
],
[
11.899,
0.678184,
0.197618,
0.0967513,
4.06324e-06,
-0.0436805,
-0.0804336,
-0.109358,
-0.128235,
-0.137752,
-0.143375,
-0.147634,
-0.151283,
-0.1546,
-0.157708,
-0.160674,
-0.163536,
-0.166317,
-0.169033,
-0.171695,
-0.174311,
-0.176887,
-0.179428,
-0.18194,
-0.184426,
-0.189376,
-0.196794,
-0.529804,
-5.51273
],
[
11.9065,
0.681803,
0.200793,
0.0988248,
6.84314e-07,
-0.0453332,
-0.0843525,
-0.116483,
-0.140165,
-0.153635,
-0.160866,
-0.165827,
-0.169855,
-0.173409,
-0.17668,
-0.179765,
-0.182718,
-0.18557,
-0.188343,
-0.19105,
-0.193702,
-0.196307,
-0.19887,
-0.201398,
-0.203894,
-0.208823,
-0.214273,
-0.54074,
-5.52839
],
[
11.9131,
0.684664,
0.203431,
0.100536,
8.79183e-08,
-0.0466586,
-0.0874623,
-0.122037,
-0.149449,
-0.167514,
-0.177195,
-0.183149,
-0.187654,
-0.191475,
-0.194911,
-0.198102,
-0.201124,
-0.20402,
-0.206818,
-0.209536,
-0.212187,
-0.214779,
-0.21732,
-0.219816,
-0.222272,
-0.227087,
-0.231923,
-0.550746,
-5.54072
],
[
11.9189,
0.686958,
0.205636,
0.101957,
1.71441e-08,
-0.047736,
-0.0899643,
-0.126435,
-0.156599,
-0.17888,
-0.191972,
-0.19939,
-0.204527,
-0.20866,
-0.212262,
-0.215541,
-0.218602,
-0.221504,
-0.224283,
-0.226962,
-0.229557,
-0.232078,
-0.234533,
-0.236928,
-0.239268,
-0.243804,
-0.248208,
-0.55924,
-5.54926
],
[
11.9239,
0.688818,
0.207486,
0.103145,
1.33824e-08,
-0.0486212,
-0.092001,
-0.129965,
-0.162177,
-0.1877,
-0.204695,
-0.214255,
-0.220262,
-0.224767,
-0.228529,
-0.231859,
-0.234906,
-0.237749,
-0.240436,
-0.242996,
-0.245446,
-0.247801,
-0.250068,
-0.252254,
-0.254364,
-0.258378,
-0.26216,
-0.565623,
-5.55462
],
[
11.928,
0.690334,
0.209044,
0.104142,
1.41446e-08,
-0.0493546,
-0.0936751,
-0.132833,
-0.166605,
-0.194439,
-0.214943,
-0.22733,
-0.234552,
-0.239502,
-0.243393,
-0.246699,
-0.249635,
-0.252311,
-0.254787,
-0.257103,
-0.259281,
-0.261339,
-0.263288,
-0.265139,
-0.266899,
-0.270177,
-0.273191,
-0.570036,
-5.55803
],
[
11.9342,
0.692573,
0.211459,
0.105687,
1.71051e-08,
-0.0504783,
-0.0962191,
-0.137133,
-0.17308,
-0.203773,
-0.22857,
-0.246355,
-0.257023,
-0.263106,
-0.267046,
-0.269963,
-0.272319,
-0.274323,
-0.276086,
-0.277671,
-0.279119,
-0.280455,
-0.281701,
-0.282869,
-0.283972,
-0.286016,
-0.287901,
-0.576251,
-5.56243
],
[
11.9382,
0.694022,
0.213161,
0.106781,
2.19325e-08,
-0.0512716,
-0.0980041,
-0.140113,
-0.177462,
-0.20977,
-0.236426,
-0.256428,
-0.269457,
-0.277019,
-0.281324,
-0.28403,
-0.285972,
-0.287513,
-0.288817,
-0.289965,
-0.291005,
-0.291964,
-0.29286,
-0.293705,
-0.29451,
-0.29602,
-0.297434,
-0.582414,
-5.56616
],
[
11.9422,
0.695391,
0.215565,
0.108399,
5.2591e-08,
-0.0525029,
-0.100804,
-0.144779,
-0.184208,
-0.21861,
-0.246799,
-0.26696,
-0.279622,
-0.287865,
-0.293868,
-0.298604,
-0.302474,
-0.305594,
-0.307958,
-0.309652,
-0.310893,
-0.311871,
-0.312696,
-0.313424,
-0.314088,
-0.315293,
-0.316395,
-0.599877,
-5.57858
],
[
11.936,
0.692509,
0.215005,
0.108369,
1.79673e-07,
-0.0527996,
-0.101713,
-0.146551,
-0.186998,
-0.222367,
-0.250989,
-0.270627,
-0.282619,
-0.290578,
-0.296593,
-0.301558,
-0.305878,
-0.309756,
-0.313305,
-0.316592,
-0.319658,
-0.322527,
-0.325213,
-0.327718,
-0.330033,
-0.333937,
-0.336511,
-0.620713,
-5.59754
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000818439,
"miller_cap_rise": 0.000857324,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00410614
],
"index_3": [
0.0169091,
0.0273345,
0.038129,
0.0501626,
0.0704132
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0123184
],
"index_3": [
0.0230962,
0.0459522,
0.0696321,
0.0957898,
0.1363808
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00410614
],
"index_3": [
0.06529,
0.0821079,
0.0951171,
0.1071729,
0.1274254
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0123184
],
"index_3": [
0.0763184,
0.1030819,
0.126678,
0.1530032,
0.1934751
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00281906
],
"index_3": [
0.0227419,
0.0348766,
0.0481441,
0.0639682,
0.0913776
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.01
],
"index_2": [
0.00845717
],
"index_3": [
0.0297129,
0.0546946,
0.0819121,
0.1142606,
0.1667951
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00281906
],
"index_3": [
0.0837502,
0.1006073,
0.1138638,
0.1295686,
0.1570416
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00845717
],
"index_3": [
0.0940728,
0.1201639,
0.1472728,
0.1796332,
0.2325291
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.893105
],
"index_2": [
1.09282
],
"index_3": [
0.00410614
],
"index_4": [
0.5338973,
0.5687241,
0.6313562,
0.6894904,
0.7356212
],
"values": [
1.64965,
1.46945,
1.34931,
1.46945,
1.64965
]
},
{
"index_1": [
1.00121
],
"index_2": [
0.598652
],
"index_3": [
0.00410614
],
"index_4": [
0.2946439,
0.3220615,
0.3647325,
0.4211952,
0.4592318
],
"values": [
1.5487,
1.30792,
1.1474,
1.30792,
1.5487
]
},
{
"index_1": [
1.11166
],
"index_2": [
0.384509
],
"index_3": [
0.00410614
],
"index_4": [
0.1913091,
0.2137408,
0.2494092,
0.2942775,
0.3269343
],
"values": [
1.49869,
1.2279,
1.04737,
1.2279,
1.49869
]
},
{
"index_1": [
0.893105
],
"index_2": [
0.546408
],
"index_3": [
0.00410614
],
"index_4": [
0.2699997,
0.2882107,
0.3138191,
0.3591786,
0.3909443
],
"values": [
1.77786,
1.67457,
1.60572,
1.67457,
1.77786
]
},
{
"index_1": [
1.11166
],
"index_2": [
0.192254
],
"index_3": [
0.00410614
],
"index_4": [
0.0991165,
0.1105489,
0.1327702,
0.1564503,
0.1793529
],
"values": [
1.72153,
1.58444,
1.49305,
1.58444,
1.72153
]
},
{
"index_1": [
1.00121
],
"index_2": [
0.598652
],
"index_3": [
0.0123184
],
"index_4": [
0.297204,
0.326872,
0.3784031,
0.4367339,
0.489515
],
"values": [
1.74639,
1.62423,
1.54279,
1.62423,
1.74639
]
},
{
"index_1": [
1.11166
],
"index_2": [
0.384509
],
"index_3": [
0.0123184
],
"index_4": [
0.1929295,
0.2168057,
0.2505653,
0.3097833,
0.3545442
],
"values": [
1.7256,
1.59096,
1.5012,
1.59096,
1.7256
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.19879
],
"index_2": [
1.52805
],
"index_3": [
0.00281906
],
"index_4": [
0.7497413,
0.7797722,
0.8288965,
0.8746519,
0.9057937
],
"values": [
0.218825,
0.350121,
0.437651,
0.350121,
0.218825
]
},
{
"index_1": [
1.31232
],
"index_2": [
0.884628
],
"index_3": [
0.00281906
],
"index_4": [
0.4355504,
0.4625556,
0.5112467,
0.5512717,
0.579351
],
"values": [
0.364018,
0.582429,
0.728037,
0.582429,
0.364018
]
},
{
"index_1": [
1.41465
],
"index_2": [
0.597857
],
"index_3": [
0.00281906
],
"index_4": [
0.2961119,
0.320591,
0.3604009,
0.397607,
0.422354
],
"values": [
0.445117,
0.712187,
0.890233,
0.712187,
0.445117
]
},
{
"index_1": [
1.19879
],
"index_2": [
0.764023
],
"index_3": [
0.00281906
],
"index_4": [
0.3769732,
0.3940792,
0.4210959,
0.4476062,
0.4673054
],
"values": [
0.134126,
0.214602,
0.268253,
0.214602,
0.134126
]
},
{
"index_1": [
1.41465
],
"index_2": [
0.298928
],
"index_3": [
0.00281906
],
"index_4": [
0.151917,
0.164498,
0.18199,
0.2057387,
0.2199368
],
"values": [
0.24282,
0.388512,
0.485639,
0.388512,
0.24282
]
},
{
"index_1": [
1.31232
],
"index_2": [
0.884628
],
"index_3": [
0.00845717
],
"index_4": [
0.4372693,
0.4676611,
0.5072189,
0.5647019,
0.5969462
],
"values": [
0.205676,
0.329081,
0.411351,
0.329081,
0.205676
]
},
{
"index_1": [
1.41465
],
"index_2": [
0.597857
],
"index_3": [
0.00845717
],
"index_4": [
0.2980684,
0.3246716,
0.3698003,
0.4084773,
0.4361669
],
"values": [
0.244244,
0.39079,
0.488487,
0.39079,
0.244244
]
}
]
},
"stage_type": "both"
},
"cell_fall del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.00298334,
0.00728736,
0.0178007,
0.0434814,
0.106211
],
"values": [
[
0.0185068,
0.0211441,
0.0274184,
0.0424049,
0.0787241,
0.1671999,
0.3829114
],
[
0.021357,
0.0239626,
0.0302609,
0.0453807,
0.0818998,
0.1708262,
0.387576
],
[
0.0256525,
0.0292681,
0.036889,
0.0524745,
0.0887653,
0.1781389,
0.3948994
],
[
0.0268038,
0.032165,
0.043377,
0.0659709,
0.1064233,
0.1944446,
0.4117968
],
[
0.0172717,
0.0248489,
0.041588,
0.0737701,
0.1330277,
0.2348551,
0.449685
],
[
-0.0193169,
-0.0085295,
0.0150495,
0.0616946,
0.1477844,
0.2969346,
0.5449276
],
[
-0.1266356,
-0.1113809,
-0.077657,
-0.0112309,
0.1085235,
0.3272384,
0.6891595
]
]
},
"cell_rise del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.00298334,
0.00728736,
0.0178007,
0.0434814,
0.106211
],
"values": [
[
0.02931,
0.0339017,
0.0449625,
0.071095,
0.1323581,
0.2813741,
0.6459789
],
[
0.0338711,
0.038427,
0.0493564,
0.0755279,
0.1371172,
0.2865015,
0.6502496
],
[
0.0467669,
0.0510267,
0.0616018,
0.0869923,
0.1511689,
0.2995803,
0.6630798
],
[
0.0708686,
0.0774183,
0.0911575,
0.1169839,
0.1773613,
0.3266944,
0.690659
],
[
0.1111759,
0.1208136,
0.141108,
0.1807416,
0.2485313,
0.3975154,
0.7586559
],
[
0.1862083,
0.1992702,
0.2278654,
0.2853056,
0.3898174,
0.5618569,
0.9207782
],
[
0.3377306,
0.3553679,
0.3940369,
0.4737597,
0.6228353,
0.8828718,
1.3002768
]
]
},
"fall_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.00298334,
0.00728736,
0.0178007,
0.0434814,
0.106211
],
"values": [
[
0.0154871,
0.0187693,
0.0268621,
0.0462978,
0.0940624,
0.2107496,
0.4952297
],
[
0.0163126,
0.0192892,
0.026925,
0.0463254,
0.0951538,
0.2114875,
0.4958851
],
[
0.0228263,
0.0257297,
0.0320561,
0.0485326,
0.0942564,
0.2146635,
0.4956819
],
[
0.0343255,
0.0382281,
0.0468424,
0.0641424,
0.1019807,
0.2109112,
0.4969021
],
[
0.0543812,
0.0600371,
0.0726334,
0.0964726,
0.1408741,
0.2312354,
0.4972909
],
[
0.0919441,
0.0988791,
0.1164028,
0.1486683,
0.211524,
0.3215851,
0.5435367
],
[
0.1614208,
0.1743279,
0.1959723,
0.2420219,
0.329821,
0.4920727,
0.750861
]
]
},
"related_pin": "C1",
"rise_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00122134,
0.00298334,
0.00728736,
0.0178007,
0.0434814,
0.106211
],
"values": [
[
0.0263845,
0.032453,
0.0471105,
0.083289,
0.1702126,
0.3815138,
0.8984576
],
[
0.0263992,
0.0323511,
0.0469979,
0.0832752,
0.1701183,
0.3818141,
0.8998945
],
[
0.0295432,
0.0344699,
0.0475434,
0.0826838,
0.170072,
0.3871272,
0.8974722
],
[
0.0444584,
0.0493601,
0.0596775,
0.087787,
0.1706532,
0.3843302,
0.8992599
],
[
0.0687845,
0.0755487,
0.0907728,
0.1205492,
0.1853528,
0.383514,
0.9049245
],
[
0.1050624,
0.1155471,
0.1382559,
0.1844189,
0.2627531,
0.4219105,
0.9012806
],
[
0.1771823,
0.1899772,
0.219396,
0.2818464,
0.4044637,
0.5953895,
0.9902826
]
]
},
"timing_sense": "negative_unate",
"timing_type": "combinational"
}
]
}
}