blob: 26cdfe782ce6040e47d94014b02c2dec8f5debf5 [file] [log] [blame]
{
"area": 22.5216,
"cell_footprint": "einvn",
"cell_leakage_power": 0.00133421,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"leakage_power": [
{
"value": 0.0005384,
"when": "!A&TE_B"
},
{
"value": 0.0001738,
"when": "!A&!TE_B"
},
{
"value": 0.0005524,
"when": "A&TE_B"
},
{
"value": 0.0040722,
"when": "A&!TE_B"
}
],
"pg_pin VGND": {
"pg_type": "primary_ground",
"voltage_name": "VGND"
},
"pg_pin VNB": {
"pg_type": "primary_ground",
"voltage_name": "VNB"
},
"pg_pin VPB": {
"pg_type": "primary_power",
"voltage_name": "VPB"
},
"pg_pin VPWR": {
"pg_type": "primary_power",
"voltage_name": "VPWR"
},
"pin A": {
"capacitance": 0.015671,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.014876,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power power_inputs_1": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5.0
],
"values": [
0.0145757,
0.0145377,
0.0144308,
0.014427,
0.0144165,
0.0143867,
0.0143029
]
},
"rise_power power_inputs_1": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5.0
],
"values": [
-0.0126452,
-0.0126466,
-0.0126505,
-0.0126392,
-0.0126073,
-0.0125173,
-0.0122639
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.016466
},
"pin TE_B": {
"capacitance": 0.009729,
"ccsn_first_stage": [
{
"dc_current ccsn_dc": {
"index_1": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"index_2": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"values": [
[
0.819958,
0.335603,
0.311319,
0.29979,
0.28556,
0.277368,
0.26846,
0.258844,
0.248531,
0.237535,
0.225869,
0.213546,
0.20058,
0.186987,
0.172779,
0.157972,
0.142578,
0.126613,
0.11009,
0.0930226,
0.0754252,
0.057311,
0.0386933,
0.0195853,
-6.01283e-09,
-0.0392059,
-0.0777487,
-0.196077,
-0.66853
],
[
0.775929,
0.214082,
0.202944,
0.19864,
0.19384,
0.191181,
0.188289,
0.185096,
0.181502,
0.177362,
0.172489,
0.166701,
0.159885,
0.152003,
0.143057,
0.133061,
0.122038,
0.110014,
0.097013,
0.0830625,
0.0681892,
0.0524197,
0.0357807,
0.0182987,
-9.11716e-09,
-0.0375657,
-0.0755013,
-0.195916,
-0.670344
],
[
0.745091,
0.123501,
0.116771,
0.114367,
0.11183,
0.110497,
0.109111,
0.107662,
0.106135,
0.104514,
0.102771,
0.100871,
0.0987545,
0.0963273,
0.0934172,
0.0897335,
0.0849477,
0.0788703,
0.0714511,
0.0626978,
0.0526368,
0.0413008,
0.0287251,
0.014946,
7.39815e-09,
-0.0321987,
-0.0664435,
-0.182281,
-0.668101
],
[
0.73644,
0.0947615,
0.088251,
0.0863309,
0.0843322,
0.0832959,
0.0822294,
0.0811275,
0.0799832,
0.0787879,
0.0775296,
0.0761922,
0.0747525,
0.0731751,
0.071402,
0.0693243,
0.0667166,
0.0631896,
0.0583862,
0.0521614,
0.0444966,
0.0354132,
0.0249443,
0.013127,
2.42836e-08,
-0.0292194,
-0.0613405,
-0.174068,
-0.666727
],
[
0.729483,
0.0761669,
0.0615663,
0.0600804,
0.0585543,
0.0577723,
0.0569751,
0.05616,
0.0553239,
0.0544628,
0.0535715,
0.0526431,
0.0516684,
0.0506345,
0.0495219,
0.0482995,
0.04691,
0.0452248,
0.042926,
0.0395076,
0.0346383,
0.0282352,
0.020306,
0.0108818,
5.08595e-08,
-0.0255133,
-0.0549661,
-0.163586,
-0.664993
],
[
0.726673,
0.0731741,
0.0493171,
0.0480328,
0.0467225,
0.0460551,
0.0453776,
0.0446885,
0.0439856,
0.0432664,
0.0425275,
0.0417646,
0.0409722,
0.0401425,
0.0392644,
0.0383211,
0.0372844,
0.0360984,
0.0346196,
0.0324628,
0.0290709,
0.0241514,
0.0176521,
0.00959043,
6.82283e-08,
-0.0233697,
-0.0512696,
-0.157422,
-0.663986
],
[
0.724359,
0.0738154,
0.0380295,
0.0369378,
0.0358318,
0.0352717,
0.0347058,
0.034133,
0.033552,
0.0329613,
0.0323587,
0.0317418,
0.0311073,
0.0304506,
0.0297657,
0.0290436,
0.0282703,
0.0274214,
0.0264428,
0.0251716,
0.0231389,
0.019754,
0.0147781,
0.0081854,
8.79222e-08,
-0.0210273,
-0.0472226,
-0.150609,
-0.662886
],
[
0.722589,
0.0775401,
0.0278849,
0.0269769,
0.026065,
0.025606,
0.0251445,
0.0246797,
0.0242109,
0.0237372,
0.0232576,
0.0227704,
0.022274,
0.0217659,
0.0212428,
0.0207004,
0.0201317,
0.0195261,
0.0188633,
0.0180921,
0.017024,
0.0150915,
0.0116991,
0.00667027,
1.09061e-07,
-0.0184862,
-0.0428231,
-0.143127,
-0.661693
],
[
0.721418,
0.0840154,
0.0190796,
0.0183333,
0.0176068,
0.0172437,
0.0168804,
0.0165165,
0.0161518,
0.0157856,
0.0154176,
0.0150468,
0.0146725,
0.0142935,
0.0139082,
0.0135146,
0.0131096,
0.0126888,
0.0122445,
0.0117613,
0.0111923,
0.010316,
0.00845768,
0.00505678,
1.28125e-07,
-0.0157518,
-0.0380759,
-0.134967,
-0.66041
],
[
0.720901,
0.0930385,
0.0119059,
0.011193,
0.0106452,
0.0103739,
0.010104,
0.00983557,
0.0095683,
0.00930205,
0.0090366,
0.00877166,
0.00850686,
0.00824174,
0.00797569,
0.00770789,
0.00743721,
0.00716201,
0.00687972,
0.0065858,
0.00626979,
0.00589085,
0.00518659,
0.00338083,
1.33757e-07,
-0.0128374,
-0.0329959,
-0.126128,
-0.659041
],
[
0.721096,
0.104476,
0.00703042,
0.00575657,
0.00538048,
0.0051985,
0.00501911,
0.00484227,
0.00466796,
0.00449611,
0.00432667,
0.00415956,
0.00399468,
0.00383188,
0.00367101,
0.00351181,
0.00335398,
0.00319704,
0.00304032,
0.00288271,
0.00272207,
0.00255252,
0.00234024,
0.00176439,
7.67332e-08,
-0.00977256,
-0.0276159,
-0.116642,
-0.657595
],
[
0.722073,
0.11824,
0.00598174,
0.00225885,
0.00201278,
0.00191669,
0.00182348,
0.0017331,
0.0016455,
0.00156065,
0.00147851,
0.00139904,
0.00132218,
0.00124787,
0.00117603,
0.00110659,
0.00103944,
0.000974443,
0.000911429,
0.000850154,
0.000790224,
0.000730819,
0.000668951,
0.000569759,
-3.68647e-07,
-0.00663045,
-0.0220099,
-0.106595,
-0.656089
],
[
0.723882,
0.134176,
0.0101218,
0.000860986,
0.00049627,
0.000463935,
0.000434011,
0.00040567,
0.000378831,
0.000353428,
0.000329398,
0.000306678,
0.000285205,
0.000264916,
0.00024575,
0.000227641,
0.000210528,
0.000194342,
0.000179012,
0.00016446,
0.000150587,
0.000137247,
0.000124081,
0.000108287,
-5.86865e-06,
-0.00364538,
-0.0163674,
-0.0961576,
-0.654564
],
[
0.726409,
0.15167,
0.0185615,
0.00154918,
9.49508e-05,
7.56257e-05,
6.65487e-05,
5.81067e-05,
5.00494e-05,
4.23199e-05,
3.48863e-05,
2.77242e-05,
2.08127e-05,
1.41326e-05,
7.66625e-06,
1.39663e-06,
-4.6925e-06,
-1.06172e-05,
-1.63935e-05,
-2.20387e-05,
-2.75726e-05,
-3.30227e-05,
-3.84477e-05,
-4.42315e-05,
-6.84813e-05,
-0.00146693,
-0.0111286,
-0.0856167,
-0.653101
],
[
0.729348,
0.169826,
0.0295048,
0.0049686,
1.8281e-05,
-8.41435e-05,
-0.000107994,
-0.000129428,
-0.000150454,
-0.000171382,
-0.000192309,
-0.000213279,
-0.000234312,
-0.000255421,
-0.000276613,
-0.000297893,
-0.000319264,
-0.000340729,
-0.000362292,
-0.000383953,
-0.000405718,
-0.000427592,
-0.000449588,
-0.000471776,
-0.000497592,
-0.000877646,
-0.00709148,
-0.0755878,
-0.651952
],
[
0.732524,
0.188169,
0.0417113,
0.0112894,
3.42986e-06,
-0.000570207,
-0.000685452,
-0.000779279,
-0.000869455,
-0.000958458,
-0.00104706,
-0.00113559,
-0.0012242,
-0.00131299,
-0.00140201,
-0.00149129,
-0.00158085,
-0.00167069,
-0.00176083,
-0.00185127,
-0.00194202,
-0.00203309,
-0.00212448,
-0.00221623,
-0.00230891,
-0.00255564,
-0.00573537,
-0.0674997,
-0.651791
],
[
0.735906,
0.206491,
0.0542217,
0.0189012,
5.08796e-07,
-0.00242849,
-0.00297352,
-0.00328396,
-0.00355904,
-0.00382173,
-0.00407841,
-0.00433172,
-0.00458297,
-0.00483289,
-0.00508194,
-0.0053304,
-0.00557848,
-0.00582631,
-0.00607399,
-0.00632159,
-0.00656918,
-0.00681683,
-0.00706459,
-0.00731256,
-0.00756087,
-0.00806963,
-0.00951322,
-0.0637225,
-0.653681
],
[
0.7395,
0.22463,
0.0662149,
0.0262964,
4.58716e-08,
-0.00617,
-0.00856221,
-0.00946781,
-0.0101034,
-0.0106622,
-0.0111867,
-0.0116922,
-0.0121858,
-0.0126714,
-0.0131512,
-0.0136267,
-0.0140988,
-0.0145683,
-0.0150357,
-0.0155014,
-0.0159655,
-0.0164285,
-0.0168906,
-0.0173519,
-0.0178128,
-0.0187361,
-0.0198333,
-0.0656895,
-0.658079
],
[
0.743325,
0.242408,
0.0772327,
0.0329965,
3.85561e-09,
-0.0104417,
-0.0165467,
-0.019217,
-0.0205774,
-0.0215888,
-0.0224693,
-0.0232844,
-0.024061,
-0.0248123,
-0.0255461,
-0.0262669,
-0.0269778,
-0.0276809,
-0.0283778,
-0.0290696,
-0.029757,
-0.0304409,
-0.0311218,
-0.0318002,
-0.0324768,
-0.0338266,
-0.0352019,
-0.0726759,
-0.664411
],
[
0.747405,
0.259614,
0.0871799,
0.0389578,
1.51138e-09,
-0.0143898,
-0.0246499,
-0.0307169,
-0.0337145,
-0.0355022,
-0.0368783,
-0.0380738,
-0.0391715,
-0.0402091,
-0.0412062,
-0.0421746,
-0.0431214,
-0.0440516,
-0.0449686,
-0.0458749,
-0.0467723,
-0.0476624,
-0.0485463,
-0.049425,
-0.0502995,
-0.0520397,
-0.0537784,
-0.0835504,
-0.672028
],
[
0.751771,
0.276001,
0.0961161,
0.0442392,
1.37217e-09,
-0.0178546,
-0.0319418,
-0.041986,
-0.0479915,
-0.0512628,
-0.0534139,
-0.0551171,
-0.0566012,
-0.0579595,
-0.0592374,
-0.0604599,
-0.0616423,
-0.0627943,
-0.0639226,
-0.0650319,
-0.0661257,
-0.0672067,
-0.0682769,
-0.0693382,
-0.070392,
-0.0724826,
-0.0745606,
-0.0976252,
-0.68055
],
[
0.756458,
0.291319,
0.104137,
0.0489185,
1.3415e-09,
-0.0208674,
-0.0383324,
-0.0521116,
-0.0618821,
-0.0677641,
-0.0712403,
-0.0736887,
-0.0756748,
-0.0774155,
-0.0790082,
-0.0805033,
-0.0819295,
-0.0833051,
-0.0846417,
-0.0859478,
-0.0872291,
-0.0884902,
-0.0897345,
-0.0909646,
-0.0921829,
-0.094592,
-0.096977,
-0.114526,
-0.689764
],
[
0.761508,
0.305378,
0.111338,
0.0530702,
1.32621e-09,
-0.0234906,
-0.0439119,
-0.0610074,
-0.0744493,
-0.0838354,
-0.0895399,
-0.0931645,
-0.0958528,
-0.0980796,
-0.100045,
-0.101846,
-0.103536,
-0.105145,
-0.106694,
-0.108197,
-0.109663,
-0.111098,
-0.112509,
-0.113899,
-0.115272,
-0.117976,
-0.120642,
-0.134044,
-0.699533
],
[
0.766967,
0.318107,
0.117809,
0.0567612,
1.32487e-09,
-0.0257835,
-0.0487921,
-0.0688004,
-0.0855263,
-0.0985406,
-0.107413,
-0.112922,
-0.116653,
-0.119535,
-0.121965,
-0.124126,
-0.126112,
-0.127975,
-0.129748,
-0.131454,
-0.133106,
-0.134715,
-0.13629,
-0.137835,
-0.139356,
-0.14234,
-0.145268,
-0.156082,
-0.709752
],
[
0.77288,
0.329562,
0.12363,
0.0600496,
1.34098e-09,
-0.027796,
-0.0530751,
-0.07564,
-0.0952521,
-0.111563,
-0.12399,
-0.132266,
-0.137591,
-0.141401,
-0.144439,
-0.147041,
-0.149372,
-0.15152,
-0.153538,
-0.155459,
-0.157305,
-0.159091,
-0.16083,
-0.16253,
-0.164197,
-0.167452,
-0.17063,
-0.180499,
-0.720343
],
[
0.786195,
0.349168,
0.133595,
0.0656111,
1.44519e-09,
-0.0311381,
-0.060182,
-0.0869796,
-0.111356,
-0.133087,
-0.151818,
-0.166891,
-0.177649,
-0.184792,
-0.189826,
-0.193736,
-0.197007,
-0.19988,
-0.202487,
-0.204905,
-0.207183,
-0.209355,
-0.211442,
-0.213461,
-0.215424,
-0.219218,
-0.22288,
-0.233488,
-0.74239
],
[
0.801295,
0.365206,
0.141704,
0.0700758,
1.68734e-09,
-0.0337687,
-0.0657681,
-0.0958794,
-0.12397,
-0.149882,
-0.173403,
-0.194181,
-0.211532,
-0.22459,
-0.233582,
-0.239914,
-0.244748,
-0.248717,
-0.252147,
-0.255218,
-0.258035,
-0.260665,
-0.263152,
-0.265527,
-0.267812,
-0.272173,
-0.276325,
-0.288096,
-0.765285
],
[
0.844295,
0.398415,
0.158161,
0.0789791,
3.88595e-09,
-0.0388925,
-0.0766305,
-0.113152,
-0.148389,
-0.18227,
-0.214711,
-0.245618,
-0.274867,
-0.302275,
-0.327526,
-0.350001,
-0.368712,
-0.382991,
-0.39344,
-0.401299,
-0.407542,
-0.412755,
-0.417279,
-0.421322,
-0.425011,
-0.431646,
-0.437604,
-0.453243,
-0.836201
],
[
0.883304,
0.424186,
0.170554,
0.08556,
1.67727e-08,
-0.04261,
-0.0845349,
-0.125748,
-0.16622,
-0.205922,
-0.244822,
-0.282886,
-0.320077,
-0.356356,
-0.391679,
-0.425995,
-0.459239,
-0.491326,
-0.522127,
-0.551421,
-0.578814,
-0.603623,
-0.624944,
-0.642218,
-0.655765,
-0.67517,
-0.688831,
-0.716516,
-0.954989
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.0012435,
"miller_cap_rise": 0.000968801,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0339851,
0.0574135,
0.0807169,
0.1024219,
0.1309099
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1046216,
0.1281056,
0.1513512,
0.1727131,
0.2014542
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0623743,
0.1094674,
0.1624436,
0.2423838,
0.3707818
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1332988,
0.1803994,
0.2333674,
0.3133208,
0.4417104
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
1.12163
],
"index_2": [
3.64493
],
"index_3": [
0.001
],
"index_4": [
1.8332642,
1.9786974,
2.6776635,
3.0883161,
3.2841647
],
"values": [
1.17278,
0.916453,
0.745566,
0.916453,
1.17278
]
},
{
"index_1": [
1.19533
],
"index_2": [
2.12441
],
"index_3": [
0.001
],
"index_4": [
1.0740106,
1.172894,
1.6477505,
1.8853707,
2.0200204
],
"values": [
1.15635,
0.890162,
0.712703,
0.890162,
1.15635
]
},
{
"index_1": [
1.26123
],
"index_2": [
1.43144
],
"index_3": [
0.001
],
"index_4": [
0.7280249,
0.8037287,
1.131207,
1.3168952,
1.4179417
],
"values": [
1.14587,
0.873386,
0.691732,
0.873386,
1.14587
]
},
{
"index_1": [
1.12163
],
"index_2": [
1.82246
],
"index_3": [
0.001
],
"index_4": [
0.9310919,
1.0112614,
1.395492,
1.5851507,
1.6904883
],
"values": [
1.38144,
1.2503,
1.16288,
1.2503,
1.38144
]
},
{
"index_1": [
1.19533
],
"index_2": [
1.0622
],
"index_3": [
0.001
],
"index_4": [
0.547756,
0.6008676,
0.8643722,
0.9726745,
1.0494893
],
"values": [
1.3815,
1.25041,
1.16301,
1.25041,
1.3815
]
},
{
"index_1": [
1.26123
],
"index_2": [
0.715718
],
"index_3": [
0.001
],
"index_4": [
0.3732763,
0.4142294,
0.5921057,
0.6831051,
0.7433243
],
"values": [
1.37901,
1.24642,
1.15802,
1.24642,
1.37901
]
},
{
"index_1": [
1.19533
],
"index_2": [
3.18661
],
"index_3": [
0.001
],
"index_4": [
1.6083924,
1.7452498,
2.3556807,
2.763478,
2.9519734
],
"values": [
0.919666,
0.511466,
0.239332,
0.511466,
0.919666
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.21225
],
"index_2": [
8.87131
],
"index_3": [
0.001
],
"index_4": [
4.4083081,
4.6586984,
5.5964812,
6.6126699,
6.8479714
],
"values": [
0.413011,
0.660818,
0.826022,
0.660818,
0.413011
]
},
{
"index_1": [
1.27953
],
"index_2": [
5.19608
],
"index_3": [
0.001
],
"index_4": [
2.5871679,
2.755158,
3.3069578,
4.0284017,
4.1789461
],
"values": [
0.427035,
0.683256,
0.854071,
0.683256,
0.427035
]
},
{
"index_1": [
1.335
],
"index_2": [
3.57882
],
"index_3": [
0.001
],
"index_4": [
1.7872997,
1.9153162,
2.4151825,
2.8555684,
2.9655808
],
"values": [
0.434749,
0.695599,
0.869498,
0.695599,
0.434749
]
},
{
"index_1": [
1.21225
],
"index_2": [
4.43566
],
"index_3": [
0.001
],
"index_4": [
2.2506631,
2.4154785,
3.0680873,
3.3593387,
3.4782851
],
"values": [
0.246244,
0.39399,
0.492487,
0.39399,
0.246244
]
},
{
"index_1": [
1.27953
],
"index_2": [
2.59804
],
"index_3": [
0.001
],
"index_4": [
1.3286117,
1.4420079,
1.8489561,
2.0464358,
2.1239383
],
"values": [
0.25243,
0.403888,
0.504859,
0.403888,
0.25243
]
},
{
"index_1": [
1.335
],
"index_2": [
1.78941
],
"index_3": [
0.001
],
"index_4": [
0.9222975,
1.0112701,
1.3100401,
1.4491161,
1.5069395
],
"values": [
0.255394,
0.40863,
0.510788,
0.40863,
0.255394
]
},
{
"index_1": [
1.27953
],
"index_2": [
7.79412
],
"index_3": [
0.001
],
"index_4": [
3.818988,
4.0539542,
5.191027,
6.0314642,
6.2405041
],
"values": [
0.544732,
0.871572,
1.08946,
0.871572,
0.544732
]
}
]
},
"stage_type": "both"
},
{
"dc_current ccsn_dc": {
"index_1": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"index_2": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"values": [
[
0.819958,
0.335603,
0.311319,
0.29979,
0.28556,
0.277368,
0.26846,
0.258844,
0.248531,
0.237535,
0.225869,
0.213546,
0.200581,
0.186987,
0.172779,
0.157972,
0.142578,
0.126613,
0.11009,
0.0930226,
0.0754252,
0.057311,
0.0386933,
0.0195853,
-6.45775e-09,
-0.0392059,
-0.0777487,
-0.196077,
-0.66853
],
[
0.775929,
0.214082,
0.202944,
0.19864,
0.19384,
0.191181,
0.188289,
0.185096,
0.181502,
0.177362,
0.172489,
0.166701,
0.159885,
0.152003,
0.143057,
0.133061,
0.122038,
0.110014,
0.097013,
0.0830625,
0.0681892,
0.0524197,
0.0357807,
0.0182987,
-9.57477e-09,
-0.0375657,
-0.0755013,
-0.195916,
-0.670344
],
[
0.745091,
0.123501,
0.116771,
0.114367,
0.11183,
0.110497,
0.109111,
0.107662,
0.106135,
0.104514,
0.102771,
0.100871,
0.0987545,
0.0963273,
0.0934172,
0.0897335,
0.0849477,
0.0788703,
0.0714511,
0.0626978,
0.0526368,
0.0413008,
0.0287251,
0.014946,
6.96393e-09,
-0.0321987,
-0.0664435,
-0.182281,
-0.668101
],
[
0.73644,
0.0947615,
0.088251,
0.0863309,
0.0843322,
0.0832959,
0.0822294,
0.0811275,
0.0799832,
0.0787879,
0.0775296,
0.0761922,
0.0747525,
0.0731751,
0.071402,
0.0693243,
0.0667166,
0.0631896,
0.0583862,
0.0521614,
0.0444966,
0.0354132,
0.0249443,
0.013127,
2.41652e-08,
-0.0292194,
-0.0613405,
-0.174068,
-0.666727
],
[
0.729483,
0.0761669,
0.0615663,
0.0600804,
0.0585543,
0.0577723,
0.0569751,
0.05616,
0.0553239,
0.0544628,
0.0535715,
0.0526431,
0.0516684,
0.0506345,
0.0495219,
0.0482995,
0.04691,
0.0452248,
0.042926,
0.0395076,
0.0346383,
0.0282352,
0.020306,
0.0108818,
5.05254e-08,
-0.0255133,
-0.0549661,
-0.163586,
-0.664993
],
[
0.726673,
0.0731741,
0.0493171,
0.0480328,
0.0467225,
0.0460551,
0.0453776,
0.0446885,
0.0439856,
0.0432664,
0.0425275,
0.0417646,
0.0409722,
0.0401425,
0.0392644,
0.0383211,
0.0372844,
0.0360984,
0.0346196,
0.0324628,
0.0290709,
0.0241514,
0.0176521,
0.00959043,
6.79134e-08,
-0.0233697,
-0.0512696,
-0.157422,
-0.663986
],
[
0.724359,
0.0738154,
0.0380295,
0.0369378,
0.0358318,
0.0352717,
0.0347058,
0.034133,
0.033552,
0.0329613,
0.0323587,
0.0317418,
0.0311073,
0.0304506,
0.0297657,
0.0290436,
0.0282703,
0.0274214,
0.0264428,
0.0251716,
0.0231389,
0.019754,
0.0147781,
0.0081854,
8.77568e-08,
-0.0210273,
-0.0472226,
-0.150609,
-0.662886
],
[
0.722589,
0.0775401,
0.0278849,
0.0269769,
0.026065,
0.025606,
0.0251445,
0.0246797,
0.0242109,
0.0237372,
0.0232576,
0.0227704,
0.022274,
0.0217659,
0.0212428,
0.0207004,
0.0201317,
0.0195261,
0.0188633,
0.0180921,
0.017024,
0.0150915,
0.0116991,
0.00667027,
1.08906e-07,
-0.0184862,
-0.0428231,
-0.143127,
-0.661693
],
[
0.721418,
0.0840154,
0.0190796,
0.0183333,
0.0176068,
0.0172437,
0.0168804,
0.0165165,
0.0161518,
0.0157856,
0.0154176,
0.0150468,
0.0146725,
0.0142935,
0.0139082,
0.0135146,
0.0131096,
0.0126888,
0.0122445,
0.0117613,
0.0111923,
0.010316,
0.00845768,
0.00505678,
1.27974e-07,
-0.0157518,
-0.0380759,
-0.134967,
-0.66041
],
[
0.720901,
0.0930385,
0.0119059,
0.011193,
0.0106452,
0.0103739,
0.010104,
0.00983557,
0.0095683,
0.00930205,
0.0090366,
0.00877166,
0.00850686,
0.00824174,
0.00797569,
0.00770789,
0.00743721,
0.00716201,
0.00687972,
0.0065858,
0.00626979,
0.00589085,
0.00518659,
0.00338083,
1.33724e-07,
-0.0128374,
-0.0329959,
-0.126128,
-0.659041
],
[
0.721096,
0.104476,
0.00703042,
0.00575657,
0.00538048,
0.0051985,
0.00501911,
0.00484227,
0.00466796,
0.00449611,
0.00432667,
0.00415956,
0.00399468,
0.00383188,
0.00367101,
0.00351181,
0.00335398,
0.00319704,
0.00304032,
0.00288271,
0.00272207,
0.00255251,
0.00234024,
0.00176439,
7.66915e-08,
-0.00977256,
-0.0276159,
-0.116642,
-0.657595
],
[
0.722073,
0.11824,
0.00598174,
0.00225885,
0.00201278,
0.00191669,
0.00182348,
0.0017331,
0.0016455,
0.00156065,
0.00147851,
0.00139904,
0.00132218,
0.00124787,
0.00117603,
0.00110659,
0.00103944,
0.000974443,
0.000911429,
0.000850154,
0.000790224,
0.000730819,
0.000668951,
0.000569759,
-3.68673e-07,
-0.00663045,
-0.0220099,
-0.106595,
-0.656089
],
[
0.723882,
0.134176,
0.0101218,
0.000860986,
0.00049627,
0.000463935,
0.000434011,
0.00040567,
0.000378831,
0.000353428,
0.000329398,
0.000306678,
0.000285205,
0.000264916,
0.00024575,
0.000227641,
0.000210528,
0.000194342,
0.000179012,
0.00016446,
0.000150587,
0.000137247,
0.000124081,
0.000108287,
-5.86866e-06,
-0.00364538,
-0.0163674,
-0.0961576,
-0.654564
],
[
0.726409,
0.15167,
0.0185615,
0.00154918,
9.49508e-05,
7.56257e-05,
6.65487e-05,
5.81067e-05,
5.00494e-05,
4.23199e-05,
3.48863e-05,
2.77242e-05,
2.08127e-05,
1.41326e-05,
7.66625e-06,
1.39663e-06,
-4.6925e-06,
-1.06172e-05,
-1.63935e-05,
-2.20387e-05,
-2.75726e-05,
-3.30227e-05,
-3.84477e-05,
-4.42316e-05,
-6.84813e-05,
-0.00146693,
-0.0111286,
-0.0856167,
-0.653101
],
[
0.729348,
0.169826,
0.0295048,
0.0049686,
1.8281e-05,
-8.41435e-05,
-0.000107994,
-0.000129428,
-0.000150454,
-0.000171382,
-0.000192309,
-0.000213279,
-0.000234312,
-0.000255421,
-0.000276613,
-0.000297893,
-0.000319264,
-0.000340729,
-0.000362292,
-0.000383953,
-0.000405718,
-0.000427592,
-0.000449588,
-0.000471776,
-0.000497592,
-0.000877646,
-0.00709148,
-0.0755878,
-0.651952
],
[
0.732524,
0.188169,
0.0417113,
0.0112894,
3.42984e-06,
-0.000570207,
-0.000685452,
-0.000779279,
-0.000869455,
-0.000958458,
-0.00104706,
-0.00113559,
-0.0012242,
-0.00131299,
-0.00140201,
-0.00149129,
-0.00158085,
-0.00167069,
-0.00176083,
-0.00185127,
-0.00194202,
-0.00203309,
-0.00212448,
-0.00221623,
-0.00230891,
-0.00255564,
-0.00573537,
-0.0674997,
-0.651791
],
[
0.735906,
0.206491,
0.0542217,
0.0189012,
5.08544e-07,
-0.00242849,
-0.00297352,
-0.00328396,
-0.00355904,
-0.00382173,
-0.00407841,
-0.00433172,
-0.00458297,
-0.00483289,
-0.00508194,
-0.0053304,
-0.00557848,
-0.00582631,
-0.00607399,
-0.00632159,
-0.00656918,
-0.00681683,
-0.00706459,
-0.00731256,
-0.00756087,
-0.00806963,
-0.00951322,
-0.0637225,
-0.653681
],
[
0.7395,
0.22463,
0.0662149,
0.0262964,
4.58716e-08,
-0.00617,
-0.00856221,
-0.00946781,
-0.0101034,
-0.0106622,
-0.0111867,
-0.0116922,
-0.0121858,
-0.0126714,
-0.0131512,
-0.0136267,
-0.0140988,
-0.0145683,
-0.0150357,
-0.0155014,
-0.0159655,
-0.0164285,
-0.0168906,
-0.0173519,
-0.0178128,
-0.0187361,
-0.0198333,
-0.0656895,
-0.658079
],
[
0.743325,
0.242408,
0.0772327,
0.0329965,
3.85561e-09,
-0.0104417,
-0.0165467,
-0.019217,
-0.0205774,
-0.0215888,
-0.0224693,
-0.0232844,
-0.024061,
-0.0248123,
-0.0255461,
-0.0262669,
-0.0269778,
-0.0276809,
-0.0283778,
-0.0290696,
-0.029757,
-0.0304409,
-0.0311218,
-0.0318002,
-0.0324768,
-0.0338266,
-0.0352019,
-0.0726759,
-0.664411
],
[
0.747405,
0.259614,
0.0871799,
0.0389578,
1.51138e-09,
-0.0143898,
-0.0246499,
-0.0307169,
-0.0337145,
-0.0355022,
-0.0368783,
-0.0380738,
-0.0391715,
-0.0402091,
-0.0412062,
-0.0421746,
-0.0431214,
-0.0440516,
-0.0449686,
-0.0458749,
-0.0467723,
-0.0476624,
-0.0485463,
-0.049425,
-0.0502995,
-0.0520397,
-0.0537784,
-0.0835504,
-0.672028
],
[
0.751771,
0.276001,
0.0961161,
0.0442392,
1.37217e-09,
-0.0178546,
-0.0319418,
-0.041986,
-0.0479915,
-0.0512628,
-0.0534139,
-0.0551171,
-0.0566012,
-0.0579595,
-0.0592374,
-0.0604599,
-0.0616423,
-0.0627943,
-0.0639226,
-0.0650319,
-0.0661257,
-0.0672067,
-0.0682769,
-0.0693382,
-0.070392,
-0.0724826,
-0.0745606,
-0.0976252,
-0.68055
],
[
0.756458,
0.291319,
0.104137,
0.0489185,
1.3415e-09,
-0.0208674,
-0.0383324,
-0.0521116,
-0.0618821,
-0.0677641,
-0.0712403,
-0.0736887,
-0.0756748,
-0.0774155,
-0.0790082,
-0.0805033,
-0.0819295,
-0.0833051,
-0.0846417,
-0.0859478,
-0.0872291,
-0.0884902,
-0.0897345,
-0.0909646,
-0.0921829,
-0.094592,
-0.096977,
-0.114526,
-0.689764
],
[
0.761508,
0.305378,
0.111338,
0.0530702,
1.32621e-09,
-0.0234906,
-0.0439119,
-0.0610074,
-0.0744493,
-0.0838354,
-0.0895399,
-0.0931645,
-0.0958528,
-0.0980796,
-0.100045,
-0.101846,
-0.103536,
-0.105145,
-0.106694,
-0.108197,
-0.109663,
-0.111098,
-0.112509,
-0.113899,
-0.115272,
-0.117976,
-0.120642,
-0.134044,
-0.699533
],
[
0.766967,
0.318107,
0.117809,
0.0567612,
1.32487e-09,
-0.0257835,
-0.0487921,
-0.0688004,
-0.0855263,
-0.0985406,
-0.107413,
-0.112922,
-0.116653,
-0.119535,
-0.121965,
-0.124126,
-0.126112,
-0.127975,
-0.129748,
-0.131454,
-0.133106,
-0.134715,
-0.13629,
-0.137835,
-0.139356,
-0.14234,
-0.145268,
-0.156082,
-0.709752
],
[
0.77288,
0.329562,
0.12363,
0.0600496,
1.34098e-09,
-0.027796,
-0.0530751,
-0.07564,
-0.0952521,
-0.111563,
-0.12399,
-0.132266,
-0.137591,
-0.141401,
-0.144439,
-0.147041,
-0.149372,
-0.15152,
-0.153538,
-0.155459,
-0.157305,
-0.159091,
-0.16083,
-0.16253,
-0.164197,
-0.167452,
-0.17063,
-0.180499,
-0.720343
],
[
0.786195,
0.349168,
0.133595,
0.0656111,
1.44519e-09,
-0.0311381,
-0.060182,
-0.0869796,
-0.111356,
-0.133087,
-0.151818,
-0.166891,
-0.177649,
-0.184792,
-0.189826,
-0.193736,
-0.197007,
-0.19988,
-0.202487,
-0.204905,
-0.207183,
-0.209355,
-0.211442,
-0.213461,
-0.215424,
-0.219218,
-0.22288,
-0.233488,
-0.74239
],
[
0.801295,
0.365206,
0.141704,
0.0700758,
1.68734e-09,
-0.0337687,
-0.0657681,
-0.0958794,
-0.12397,
-0.149882,
-0.173403,
-0.194181,
-0.211532,
-0.22459,
-0.233582,
-0.239914,
-0.244748,
-0.248717,
-0.252147,
-0.255218,
-0.258035,
-0.260665,
-0.263152,
-0.265527,
-0.267812,
-0.272173,
-0.276325,
-0.288096,
-0.765285
],
[
0.844295,
0.398415,
0.158161,
0.0789791,
3.88595e-09,
-0.0388925,
-0.0766305,
-0.113152,
-0.148389,
-0.18227,
-0.214711,
-0.245618,
-0.274867,
-0.302275,
-0.327526,
-0.350001,
-0.368712,
-0.382991,
-0.39344,
-0.401299,
-0.407542,
-0.412754,
-0.417279,
-0.421322,
-0.425011,
-0.431646,
-0.437603,
-0.453243,
-0.836201
],
[
0.883304,
0.424186,
0.170554,
0.08556,
1.67727e-08,
-0.04261,
-0.0845349,
-0.125748,
-0.16622,
-0.205922,
-0.244822,
-0.282886,
-0.320077,
-0.356356,
-0.391679,
-0.425995,
-0.459239,
-0.491326,
-0.522127,
-0.551421,
-0.578814,
-0.603623,
-0.624944,
-0.642218,
-0.655765,
-0.67517,
-0.688831,
-0.716516,
-0.954989
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.00121148,
"miller_cap_rise": 0.000968089,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0334568,
0.0569098,
0.0797654,
0.1009059,
0.1292728
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1036868,
0.1271711,
0.149948,
0.1708916,
0.1992881
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0622882,
0.1087144,
0.1612158,
0.2440955,
0.3639972
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.1331671,
0.1795844,
0.2321034,
0.3150003,
0.4348835
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
1.12163
],
"index_2": [
3.59714
],
"index_3": [
0.001
],
"index_4": [
1.8081355,
1.9504033,
2.650597,
3.0506357,
3.2442584
],
"values": [
1.1786,
0.925758,
0.757197,
0.925758,
1.1786
]
},
{
"index_1": [
1.19533
],
"index_2": [
2.09656
],
"index_3": [
0.001
],
"index_4": [
1.0599162,
1.1566446,
1.6006285,
1.8599178,
1.9939387
],
"values": [
1.16239,
0.899817,
0.724772,
0.899817,
1.16239
]
},
{
"index_1": [
1.26123
],
"index_2": [
1.41267
],
"index_3": [
0.001
],
"index_4": [
0.7180971,
0.7922852,
1.1283858,
1.2985783,
1.4005873
],
"values": [
1.15208,
0.883332,
0.704166,
0.883332,
1.15208
]
},
{
"index_1": [
1.12163
],
"index_2": [
1.79857
],
"index_3": [
0.001
],
"index_4": [
0.9185725,
0.9970474,
1.3867854,
1.5655618,
1.6692284
],
"values": [
1.38567,
1.25707,
1.17134,
1.25707,
1.38567
]
},
{
"index_1": [
1.19533
],
"index_2": [
1.04828
],
"index_3": [
0.001
],
"index_4": [
0.540064,
0.5919754,
0.7846517,
0.960274,
1.036762
],
"values": [
1.38501,
1.25601,
1.17002,
1.25601,
1.38501
]
},
{
"index_1": [
1.26123
],
"index_2": [
0.706335
],
"index_3": [
0.001
],
"index_4": [
0.3676762,
0.4089227,
0.5846912,
0.6740898,
0.7339903
],
"values": [
1.38129,
1.25006,
1.16258,
1.25006,
1.38129
]
},
{
"index_1": [
1.19533
],
"index_2": [
3.14484
],
"index_3": [
0.001
],
"index_4": [
1.5879569,
1.7206738,
2.3916185,
2.728108,
2.9092943
],
"values": [
0.924057,
0.518491,
0.248113,
0.518491,
0.924057
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.21225
],
"index_2": [
8.80055
],
"index_3": [
0.001
],
"index_4": [
4.3730199,
4.6105423,
5.8898611,
6.5810739,
6.8029439
],
"values": [
0.41146,
0.658337,
0.822921,
0.658337,
0.41146
]
},
{
"index_1": [
1.27953
],
"index_2": [
5.15463
],
"index_3": [
0.001
],
"index_4": [
2.5663152,
2.7276324,
3.5563585,
4.0108774,
4.1520068
],
"values": [
0.425693,
0.681109,
0.851386,
0.681109,
0.425693
]
},
{
"index_1": [
1.335
],
"index_2": [
3.55027
],
"index_3": [
0.001
],
"index_4": [
1.771933,
1.8954665,
2.5626348,
2.8401186,
2.9457798
],
"values": [
0.432398,
0.691836,
0.864796,
0.691836,
0.432398
]
},
{
"index_1": [
1.21225
],
"index_2": [
4.40028
],
"index_3": [
0.001
],
"index_4": [
2.2324075,
2.3935374,
2.9329883,
3.3260338,
3.4453696
],
"values": [
0.24242,
0.387872,
0.48484,
0.387872,
0.24242
]
},
{
"index_1": [
1.27953
],
"index_2": [
2.57732
],
"index_3": [
0.001
],
"index_4": [
1.3183016,
1.4303255,
1.8509101,
2.0276647,
2.1045847
],
"values": [
0.249988,
0.399981,
0.499977,
0.399981,
0.249988
]
},
{
"index_1": [
1.335
],
"index_2": [
1.77514
],
"index_3": [
0.001
],
"index_4": [
0.915195,
1.0018506,
1.3051977,
1.4360664,
1.4937032
],
"values": [
0.25356,
0.405696,
0.50712,
0.405696,
0.25356
]
},
{
"index_1": [
1.27953
],
"index_2": [
7.73195
],
"index_3": [
0.001
],
"index_4": [
3.7943372,
4.0411674,
5.3092558,
5.9855595,
6.1950902
],
"values": [
0.556175,
0.88988,
1.11235,
0.88988,
0.556175
]
}
]
},
"stage_type": "both"
}
],
"clock": "false",
"direction": "input",
"fall_capacitance": 0.008685,
"input_voltage": "GENERAL",
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.010773
},
"pin Z": {
"capacitance": 0.008599,
"ccsn_last_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"index_2": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"values": [
[
5.72513,
0.309669,
0.305716,
0.303422,
0.299345,
0.296732,
0.293814,
0.290638,
0.287243,
0.283653,
0.279881,
0.275922,
0.271735,
0.26718,
0.261798,
0.254301,
0.242728,
0.226068,
0.204517,
0.178631,
0.148944,
0.1159,
0.0798677,
0.0411506,
3.39629e-07,
-0.0895217,
-0.187419,
-0.526702,
-6.21695
],
[
5.68923,
0.274159,
0.271266,
0.270691,
0.270049,
0.269692,
0.269299,
0.268855,
0.268328,
0.267635,
0.266575,
0.26487,
0.262289,
0.258497,
0.252813,
0.244262,
0.232034,
0.215777,
0.195482,
0.171302,
0.143453,
0.112171,
0.077689,
0.0402294,
9.26855e-08,
-0.0886972,
-0.187163,
-0.534789,
-6.21879
],
[
5.65769,
0.233485,
0.222617,
0.220819,
0.218905,
0.217896,
0.216844,
0.215743,
0.214582,
0.213348,
0.212021,
0.210572,
0.208951,
0.207059,
0.204627,
0.200855,
0.194345,
0.18412,
0.169811,
0.151316,
0.128674,
0.102018,
0.0715382,
0.0374553,
-2.44993e-07,
-0.0846751,
-0.180949,
-0.529967,
-6.21871
],
[
5.64159,
0.238572,
0.186967,
0.184893,
0.182731,
0.18161,
0.180458,
0.17927,
0.178039,
0.176758,
0.175415,
0.173996,
0.172478,
0.170826,
0.168978,
0.166795,
0.163833,
0.158773,
0.14985,
0.136252,
0.117849,
0.0947648,
0.0672332,
0.0355436,
-5.57269e-07,
-0.0819673,
-0.176687,
-0.525309,
-6.21837
],
[
5.62458,
0.324534,
0.145277,
0.143146,
0.140956,
0.139834,
0.138692,
0.137525,
0.136331,
0.135105,
0.133841,
0.132531,
0.131164,
0.129726,
0.128194,
0.12653,
0.124663,
0.122397,
0.118983,
0.112376,
0.100664,
0.0833422,
0.0605412,
0.0326156,
-4.09629e-08,
-0.078019,
-0.170567,
-0.518387,
-6.2178
],
[
5.61622,
0.394273,
0.123256,
0.12116,
0.119018,
0.117926,
0.116819,
0.115693,
0.114545,
0.113374,
0.112174,
0.110939,
0.109663,
0.108336,
0.106943,
0.105462,
0.103857,
0.10205,
0.0998093,
0.0961406,
0.0885658,
0.0752218,
0.0557926,
0.030556,
-2.27629e-08,
-0.0753759,
-0.166555,
-0.51387,
-6.21742
],
[
5.60823,
0.47534,
0.101027,
0.0989981,
0.0969419,
0.0958988,
0.0948441,
0.0937762,
0.0926931,
0.0915925,
0.0904714,
0.0893261,
0.0881516,
0.0869415,
0.0856866,
0.084374,
0.0829835,
0.0814796,
0.0797826,
0.0776065,
0.0736203,
0.0648856,
0.0496853,
0.027908,
-2.02914e-08,
-0.0721161,
-0.161708,
-0.508507,
-6.21695
],
[
5.60061,
0.564372,
0.0791243,
0.0771364,
0.0752052,
0.0742302,
0.0732478,
0.072257,
0.0712564,
0.0702445,
0.0692194,
0.0681786,
0.0671189,
0.0660364,
0.0649255,
0.0637786,
0.0625846,
0.0613256,
0.0599689,
0.0584327,
0.0563586,
0.051944,
0.0417999,
0.0244502,
-3.07537e-08,
-0.0680432,
-0.155809,
-0.502162,
-6.2164
],
[
5.59294,
0.658433,
0.0585833,
0.0561526,
0.0543928,
0.0535094,
0.0526228,
0.0517322,
0.050837,
0.0499362,
0.0490287,
0.0481131,
0.0471875,
0.0462496,
0.0452964,
0.0443236,
0.0433255,
0.0422932,
0.0412124,
0.0400547,
0.0387376,
0.0367976,
0.0317712,
0.0198947,
-3.26336e-08,
-0.0628675,
-0.148559,
-0.494669,
-6.21574
],
[
5.58407,
0.753214,
0.0423299,
0.0368326,
0.0352987,
0.0345395,
0.0337814,
0.0330239,
0.0322668,
0.0315097,
0.030752,
0.0299931,
0.0292321,
0.0284679,
0.0276991,
0.0269238,
0.0261392,
0.0253416,
0.0245251,
0.0236794,
0.0227825,
0.0217534,
0.0199604,
0.0140186,
-6.62146e-08,
-0.056145,
-0.139526,
-0.485822,
-6.21496
],
[
5.57391,
0.839806,
0.0386165,
0.0204371,
0.0191119,
0.0185271,
0.0179479,
0.0173743,
0.0168063,
0.0162437,
0.0156865,
0.0151345,
0.0145875,
0.0140451,
0.0135071,
0.0129726,
0.012441,
0.0119109,
0.0113805,
0.0108468,
0.010304,
0.00973741,
0.00906805,
0.00732629,
-8.23212e-07,
-0.047179,
-0.128067,
-0.475358,
-6.21405
],
[
5.56481,
0.906908,
0.0616639,
0.00937715,
0.00757857,
0.00722982,
0.0068918,
0.00656285,
0.00624292,
0.00593199,
0.00562998,
0.00533683,
0.00505243,
0.00477665,
0.0045093,
0.00425017,
0.00399894,
0.00375523,
0.00351851,
0.00328797,
0.00306234,
0.00283898,
0.00260881,
0.00226982,
-1.30164e-05,
-0.0349645,
-0.113178,
-0.462948,
-6.213
],
[
5.55958,
0.956887,
0.116746,
0.00810161,
0.00196471,
0.00181072,
0.00168774,
0.00157129,
0.00146053,
0.00135518,
0.00125497,
0.00115966,
0.00106904,
0.000982857,
0.000900897,
0.000822915,
0.000748676,
0.000677934,
0.000610414,
0.000545828,
0.000483793,
0.000423756,
0.000364357,
0.000295451,
-0.000178483,
-0.0195132,
-0.0934022,
-0.448337,
-6.21206
],
[
5.55935,
0.9972,
0.191401,
0.0245042,
0.000395701,
4.21832e-05,
-5.84385e-05,
-0.000150321,
-0.00023969,
-0.000327587,
-0.000414385,
-0.000500282,
-0.000585399,
-0.000669843,
-0.000753682,
-0.000836985,
-0.000919819,
-0.00100226,
-0.00108433,
-0.00116612,
-0.00124772,
-0.00132923,
-0.00141087,
-0.00149427,
-0.001656,
-0.00834573,
-0.0684592,
-0.432539,
-6.21346
],
[
5.56181,
1.03003,
0.259215,
0.0640517,
7.75004e-05,
-0.00201641,
-0.00245935,
-0.00283974,
-0.00320743,
-0.00356984,
-0.00392931,
-0.00428683,
-0.00464288,
-0.00499771,
-0.00535147,
-0.00570425,
-0.00605611,
-0.00640705,
-0.00675712,
-0.00710635,
-0.00745477,
-0.00780241,
-0.00814939,
-0.00849603,
-0.00885679,
-0.0109776,
-0.0482596,
-0.420455,
-6.22452
],
[
5.56472,
1.05671,
0.30601,
0.107161,
1.40107e-05,
-0.00958281,
-0.0113845,
-0.0126237,
-0.0137604,
-0.0148512,
-0.0159133,
-0.0169538,
-0.0179767,
-0.0189843,
-0.0199779,
-0.0209588,
-0.0219276,
-0.0228851,
-0.0238316,
-0.0247677,
-0.0256938,
-0.0266102,
-0.0275176,
-0.0284163,
-0.0293092,
-0.03134,
-0.0493747,
-0.418538,
-6.25333
],
[
5.56743,
1.07869,
0.338179,
0.137151,
1.86608e-06,
-0.0271602,
-0.0344245,
-0.0372911,
-0.0395555,
-0.0416181,
-0.043573,
-0.045456,
-0.0472846,
-0.0490689,
-0.0508149,
-0.052527,
-0.0542082,
-0.0558607,
-0.0574865,
-0.0590869,
-0.0606634,
-0.0622171,
-0.0637494,
-0.0652613,
-0.0667545,
-0.0697427,
-0.0775437,
-0.426099,
-6.29962
],
[
5.56988,
1.09708,
0.361509,
0.157254,
1.58696e-07,
-0.0469692,
-0.0691084,
-0.0762722,
-0.0801348,
-0.0832564,
-0.0860755,
-0.088725,
-0.0912611,
-0.0937127,
-0.0960967,
-0.0984239,
-0.100701,
-0.102935,
-0.105127,
-0.107282,
-0.109402,
-0.111489,
-0.113545,
-0.115573,
-0.117573,
-0.121511,
-0.126416,
-0.435922,
-6.35716
],
[
5.57209,
1.11266,
0.379184,
0.171406,
2.05471e-08,
-0.0623185,
-0.103045,
-0.122122,
-0.129637,
-0.13428,
-0.138073,
-0.141483,
-0.14467,
-0.147709,
-0.150637,
-0.153479,
-0.15625,
-0.158959,
-0.161613,
-0.164219,
-0.16678,
-0.1693,
-0.171781,
-0.174227,
-0.17664,
-0.181379,
-0.186233,
-0.446758,
-6.42021
],
[
5.57409,
1.12601,
0.393023,
0.181854,
1.31832e-08,
-0.0731712,
-0.129201,
-0.165146,
-0.182256,
-0.190106,
-0.195345,
-0.199669,
-0.203548,
-0.207163,
-0.2106,
-0.213906,
-0.217109,
-0.220229,
-0.223277,
-0.226264,
-0.229195,
-0.232076,
-0.234911,
-0.237704,
-0.240459,
-0.245866,
-0.251207,
-0.462584,
-6.48547
],
[
5.57591,
1.13754,
0.404139,
0.189866,
1.37966e-08,
-0.0809057,
-0.148235,
-0.199082,
-0.231206,
-0.246915,
-0.255004,
-0.26069,
-0.265431,
-0.269685,
-0.273643,
-0.277399,
-0.281008,
-0.2845,
-0.287899,
-0.291218,
-0.294469,
-0.297659,
-0.300794,
-0.303881,
-0.306923,
-0.312889,
-0.318736,
-0.484897,
-6.55177
],
[
5.57757,
1.14758,
0.413246,
0.196192,
1.31444e-08,
-0.0865978,
-0.162185,
-0.22443,
-0.270855,
-0.299794,
-0.314413,
-0.32264,
-0.328654,
-0.333715,
-0.338264,
-0.342493,
-0.346503,
-0.350351,
-0.354073,
-0.357692,
-0.361225,
-0.364684,
-0.368078,
-0.371414,
-0.374699,
-0.381132,
-0.387419,
-0.513959,
-6.61846
],
[
5.57908,
1.15636,
0.420827,
0.201303,
1.31453e-08,
-0.0909266,
-0.172657,
-0.243413,
-0.301128,
-0.34366,
-0.369846,
-0.383557,
-0.391838,
-0.398077,
-0.403374,
-0.408146,
-0.412583,
-0.416788,
-0.42082,
-0.424717,
-0.428505,
-0.432201,
-0.435818,
-0.439367,
-0.442855,
-0.449674,
-0.456323,
-0.549643,
-6.68496
],
[
5.58047,
1.16408,
0.42722,
0.205509,
1.33717e-08,
-0.0943125,
-0.180724,
-0.257885,
-0.324178,
-0.377764,
-0.416764,
-0.440512,
-0.453431,
-0.461686,
-0.468062,
-0.473519,
-0.478448,
-0.483033,
-0.487376,
-0.491539,
-0.495561,
-0.499468,
-0.503279,
-0.507007,
-0.510664,
-0.517795,
-0.524728,
-0.591589,
-6.75068
],
[
5.58174,
1.17089,
0.432667,
0.209021,
1.37788e-08,
-0.097023,
-0.187087,
-0.269152,
-0.341965,
-0.404062,
-0.453801,
-0.489509,
-0.511064,
-0.523257,
-0.531416,
-0.537846,
-0.543392,
-0.548412,
-0.553085,
-0.557512,
-0.561753,
-0.565849,
-0.569825,
-0.573702,
-0.577493,
-0.584862,
-0.592001,
-0.639232,
-6.81507
],
[
5.58396,
1.18228,
0.441406,
0.214525,
1.53217e-08,
-0.101066,
-0.196404,
-0.285339,
-0.367086,
-0.440727,
-0.505215,
-0.559367,
-0.601849,
-0.631389,
-0.649109,
-0.659915,
-0.66766,
-0.673955,
-0.67945,
-0.684447,
-0.689105,
-0.693516,
-0.697738,
-0.701809,
-0.705757,
-0.713356,
-0.720641,
-0.747364,
-6.9371
],
[
5.58581,
1.19127,
0.448032,
0.218598,
1.83496e-08,
-0.103909,
-0.202818,
-0.296234,
-0.383601,
-0.464289,
-0.537592,
-0.602731,
-0.658859,
-0.705049,
-0.740248,
-0.763849,
-0.778122,
-0.787389,
-0.794339,
-0.800104,
-0.805178,
-0.809801,
-0.81411,
-0.818183,
-0.822073,
-0.829433,
-0.836368,
-0.857431,
-7.04394
],
[
5.5895,
1.2085,
0.460308,
0.225952,
4.44922e-08,
-0.108762,
-0.213499,
-0.31388,
-0.409531,
-0.500035,
-0.58492,
-0.663644,
-0.735574,
-0.799971,
-0.856079,
-0.903227,
-0.940927,
-0.969385,
-0.989892,
-1.00414,
-1.01349,
-1.01924,
-1.02291,
-1.02556,
-1.02769,
-1.03112,
-1.03399,
-1.0426,
-7.2067
],
[
5.59145,
1.21891,
0.468403,
0.230771,
1.99664e-07,
-0.11183,
-0.220124,
-0.324557,
-0.424751,
-0.520255,
-0.610522,
-0.694848,
-0.772288,
-0.841595,
-0.901279,
-0.949568,
-0.985685,
-1.01193,
-1.03191,
-1.04819,
-1.06214,
-1.07451,
-1.08569,
-1.09591,
-1.10528,
-1.12155,
-1.13407,
-1.14929,
-7.31094
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.008245,
"miller_cap_rise": 0.0073073,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.010828
],
"index_3": [
0.0282381,
0.0386598,
0.0494525,
0.0619383,
0.0858087
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.01
],
"index_2": [
0.032484
],
"index_3": [
0.0347291,
0.0575055,
0.0810198,
0.1076177,
0.1533826
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.010828
],
"index_3": [
0.0958455,
0.1067246,
0.1174285,
0.1298249,
0.1538407
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.032484
],
"index_3": [
0.1039445,
0.1266265,
0.1503021,
0.1769524,
0.2225719
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00264293
],
"index_3": [
0.0688702,
0.0922249,
0.1162962,
0.1428026,
0.2029029
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.01
],
"index_2": [
0.00792879
],
"index_3": [
0.0795322,
0.1153808,
0.152409,
0.1924841,
0.2710334
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00264293
],
"index_3": [
0.1264616,
0.1491849,
0.17326,
0.200984,
0.2608955
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00792879
],
"index_3": [
0.1364376,
0.1718641,
0.2090679,
0.2490115,
0.328362
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
1.03673
],
"index_2": [
1.91983
],
"index_3": [
0.010828
],
"index_4": [
0.9737177,
1.0581277,
1.4202129,
1.6165471,
1.725504
],
"values": [
1.07578,
0.761252,
0.551565,
0.761252,
1.07578
]
},
{
"index_1": [
1.11541
],
"index_2": [
1.09924
],
"index_3": [
0.010828
],
"index_4": [
0.5640618,
0.6218177,
0.8576766,
0.9835853,
1.0583822
],
"values": [
1.06313,
0.741006,
0.526257,
0.741006,
1.06313
]
},
{
"index_1": [
1.18814
],
"index_2": [
0.730405
],
"index_3": [
0.010828
],
"index_4": [
0.3792594,
0.4238217,
0.5953082,
0.6860709,
0.7426011
],
"values": [
1.05544,
0.728709,
0.510886,
0.728709,
1.05544
]
},
{
"index_1": [
1.03673
],
"index_2": [
0.959917
],
"index_3": [
0.010828
],
"index_4": [
0.5078452,
0.5645291,
0.7426269,
0.8336272,
0.8939893
],
"values": [
1.33387,
1.17418,
1.06773,
1.17418,
1.33387
]
},
{
"index_1": [
1.18814
],
"index_2": [
0.365202
],
"index_3": [
0.010828
],
"index_4": [
0.2041793,
0.2378828,
0.31109,
0.3578835,
0.3959641
],
"values": [
1.34113,
1.18581,
1.08227,
1.18581,
1.34113
]
},
{
"index_1": [
1.11541
],
"index_2": [
1.09924
],
"index_3": [
0.032484
],
"index_4": [
0.5702391,
0.6307349,
0.8563205,
1.0015952,
1.097134
],
"values": [
1.34487,
1.19179,
1.08973,
1.19179,
1.34487
]
},
{
"index_1": [
1.18814
],
"index_2": [
0.730405
],
"index_3": [
0.032484
],
"index_4": [
0.3853632,
0.4315232,
0.5833815,
0.699486,
0.7766845
],
"values": [
1.35148,
1.20237,
1.10296,
1.20237,
1.35148
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.16487
],
"index_2": [
6.60059
],
"index_3": [
0.00264293
],
"index_4": [
3.3266385,
3.5312883,
4.153686,
4.5146986,
4.6700874
],
"values": [
0.560354,
0.896566,
1.12071,
0.896566,
0.560354
]
},
{
"index_1": [
1.22928
],
"index_2": [
3.77676
],
"index_3": [
0.00264293
],
"index_4": [
1.9165403,
2.0598983,
2.4771263,
2.7048256,
2.8017434
],
"values": [
0.599761,
0.959618,
1.19952,
0.959618,
0.599761
]
},
{
"index_1": [
1.28765
],
"index_2": [
2.49931
],
"index_3": [
0.00264293
],
"index_4": [
1.27731,
1.387604,
1.6822701,
1.8538788,
1.9235456
],
"values": [
0.623206,
0.997129,
1.24641,
0.997129,
0.623206
]
},
{
"index_1": [
1.16487
],
"index_2": [
3.3003
],
"index_3": [
0.00264293
],
"index_4": [
1.7074952,
1.837678,
2.1455906,
2.3063351,
2.3802505
],
"values": [
0.31605,
0.50568,
0.6321,
0.50568,
0.31605
]
},
{
"index_1": [
1.28765
],
"index_2": [
1.24965
],
"index_3": [
0.00264293
],
"index_4": [
0.6682074,
0.7414144,
0.8741972,
0.9468579,
0.9811106
],
"values": [
0.340357,
0.544571,
0.680713,
0.544571,
0.340357
]
},
{
"index_1": [
1.22928
],
"index_2": [
3.77676
],
"index_3": [
0.00792879
],
"index_4": [
1.9248999,
2.0736427,
2.4841778,
2.7314078,
2.8278926
],
"values": [
0.413784,
0.662055,
0.827568,
0.662055,
0.413784
]
},
{
"index_1": [
1.28765
],
"index_2": [
2.49931
],
"index_3": [
0.00792879
],
"index_4": [
1.2816462,
1.3961518,
1.6928,
1.8719048,
1.9417683
],
"values": [
0.430952,
0.689524,
0.861905,
0.689524,
0.430952
]
}
]
},
"stage_type": "both"
},
"direction": "output",
"function": "(!A)",
"internal_power": [
{
"fall_power power_outputs_1": {
"index_1": [
0.01,
0.02817269,
0.07937005,
0.2236068,
0.6299605,
1.774768,
5
],
"index_2": [
0.0005,
0.001649231,
0.005439927,
0.0179434,
0.05918561,
0.1952215,
0.6439308
],
"values": [
[
0.0020105,
0.0008309,
-0.0035239,
-0.0189826,
-0.0712805,
-0.2451517,
-0.8194632
],
[
0.0007929,
-0.0003109,
-0.0042614,
-0.0190708,
-0.0709647,
-0.2446788,
-0.8189178
],
[
-0.0007183,
-0.0018127,
-0.0056343,
-0.0198349,
-0.0710027,
-0.2443566,
-0.8184955
],
[
-0.0021026,
-0.0033262,
-0.0074845,
-0.0216875,
-0.0720357,
-0.2445443,
-0.8183676
],
[
-0.0026981,
-0.0040167,
-0.0084882,
-0.0233474,
-0.0738097,
-0.2454327,
-0.8185479
],
[
-0.0029723,
-0.0041567,
-0.0088366,
-0.0243019,
-0.0754831,
-0.2477143,
-0.8196687
],
[
-0.0029858,
-0.0044702,
-0.0097133,
-0.0249828,
-0.0773255,
-0.2496737,
-0.8218905
]
]
},
"related_pin": "A",
"rise_power power_outputs_1": {
"index_1": [
0.01,
0.02817269,
0.07937005,
0.2236068,
0.6299605,
1.774768,
5
],
"index_2": [
0.0005,
0.001649231,
0.005439927,
0.0179434,
0.05918561,
0.1952215,
0.6439308
],
"values": [
[
0.0260788,
0.0281151,
0.0340779,
0.0510693,
0.1040914,
0.2760978,
0.8443921
],
[
0.0246625,
0.0265438,
0.032392,
0.0499794,
0.1037556,
0.2761962,
0.8476582
],
[
0.0235879,
0.0252779,
0.0307253,
0.0481536,
0.1026851,
0.2772301,
0.8448128
],
[
0.0228206,
0.0244091,
0.0295521,
0.0461592,
0.1005111,
0.2753901,
0.8441444
],
[
0.0221746,
0.0237409,
0.028639,
0.0448412,
0.0982054,
0.2724747,
0.8425427
],
[
0.0221752,
0.0236528,
0.0287087,
0.0447325,
0.0976912,
0.2706424,
0.8407104
],
[
0.0203522,
0.0218373,
0.0267728,
0.0429318,
0.0971449,
0.2701115,
0.8397003
]
]
}
},
{
"fall_power power_outputs_1": {
"index_1": [
0.01,
0.02817269,
0.07937005,
0.2236068,
0.6299605,
1.774768,
5
],
"index_2": [
0.0005,
0.001649231,
0.005439927,
0.0179434,
0.05918561,
0.1952215,
0.6439308
],
"values": [
[
-0.0183112,
-0.0182002,
-0.0178855,
-0.0172135,
-0.0163203,
-0.0156676,
-0.0154074
],
[
-0.0184996,
-0.0183932,
-0.0180836,
-0.0174396,
-0.01656,
-0.0159756,
-0.0156776
],
[
-0.018879,
-0.0187745,
-0.0184784,
-0.0178452,
-0.0170058,
-0.0164094,
-0.0160998
],
[
-0.0196018,
-0.0195089,
-0.0192485,
-0.018609,
-0.0176673,
-0.0169745,
-0.0166576
],
[
-0.0200849,
-0.0200227,
-0.0197919,
-0.0191607,
-0.0182025,
-0.0172861,
-0.0167807
],
[
-0.0212573,
-0.0212088,
-0.0210629,
-0.0206622,
-0.0198165,
-0.0186722,
-0.0177658
],
[
-0.021748,
-0.0217124,
-0.0216053,
-0.0213322,
-0.0207992,
-0.0198972,
-0.0187388
]
]
},
"related_pin": "TE_B",
"rise_power power_outputs_1": {
"index_1": [
0.01,
0.02817269,
0.07937005,
0.2236068,
0.6299605,
1.774768,
5
],
"index_2": [
0.0005,
0.001649231,
0.005439927,
0.0179434,
0.05918561,
0.1952215,
0.6439308
],
"values": [
[
0.0679452,
0.0697768,
0.075285,
0.0917136,
0.1440196,
0.3158368,
0.8840535
],
[
0.0677808,
0.0696767,
0.075164,
0.0915798,
0.1439098,
0.316806,
0.8839
],
[
0.0675839,
0.0694418,
0.074942,
0.0913496,
0.1435648,
0.3155078,
0.8837367
],
[
0.0674139,
0.0692268,
0.0746775,
0.0910961,
0.1433996,
0.316237,
0.8834318
],
[
0.0670907,
0.0689443,
0.0744553,
0.0909263,
0.1431544,
0.3150356,
0.8839425
],
[
0.0652607,
0.0674444,
0.0739755,
0.0907612,
0.14285,
0.3156427,
0.8830205
],
[
0.0669199,
0.0683518,
0.0731832,
0.08916,
0.1427796,
0.315255,
0.8843363
]
]
},
"when": "!A"
},
{
"fall_power power_outputs_1": {
"index_1": [
0.01,
0.02817269,
0.07937005,
0.2236068,
0.6299605,
1.774768,
5
],
"index_2": [
0.0005,
0.001649231,
0.005439927,
0.0179434,
0.05918561,
0.1952215,
0.6439308
],
"values": [
[
0.0539868,
0.052666,
0.0484204,
0.0337528,
-0.0178703,
-0.1917788,
-0.7660878
],
[
0.0538833,
0.0526154,
0.0483273,
0.03363,
-0.0179688,
-0.1918949,
-0.766332
],
[
0.053628,
0.0523391,
0.0480843,
0.033378,
-0.0182151,
-0.1921507,
-0.7665322
],
[
0.0533876,
0.0520912,
0.047838,
0.03315,
-0.0184588,
-0.1923822,
-0.7666968
],
[
0.0531859,
0.0518802,
0.04762,
0.0329491,
-0.0186546,
-0.1925963,
-0.7668383
],
[
0.0504872,
0.0490649,
0.0446832,
0.0311649,
-0.0187509,
-0.1926976,
-0.7670222
],
[
0.0546035,
0.0531288,
0.0482823,
0.0322813,
-0.0205089,
-0.1945905,
-0.7671281
]
]
},
"related_pin": "TE_B",
"rise_power power_outputs_1": {
"index_1": [
0.01,
0.02817269,
0.07937005,
0.2236068,
0.6299605,
1.774768,
5
],
"index_2": [
0.0005,
0.001649231,
0.005439927,
0.0179434,
0.05918561,
0.1952215,
0.6439308
],
"values": [
[
-0.0198878,
-0.019901,
-0.0199358,
-0.0200022,
-0.0200905,
-0.0201574,
-0.0201949
],
[
-0.0200515,
-0.0200659,
-0.0201055,
-0.0201549,
-0.0202508,
-0.0203408,
-0.0203784
],
[
-0.020352,
-0.020364,
-0.020413,
-0.0205018,
-0.020617,
-0.0206991,
-0.0207302
],
[
-0.0207827,
-0.0207976,
-0.020837,
-0.0209198,
-0.021026,
-0.0211277,
-0.0211664
],
[
-0.0208739,
-0.020875,
-0.0208953,
-0.0209713,
-0.0210762,
-0.0211453,
-0.0211792
],
[
-0.0217198,
-0.021731,
-0.0217778,
-0.0218386,
-0.0219317,
-0.0219873,
-0.0220167
],
[
-0.0220406,
-0.0220444,
-0.022077,
-0.0221425,
-0.0222216,
-0.0222741,
-0.0222987
]
]
},
"when": "A"
}
],
"max_capacitance": 0.186778,
"max_transition": 1.506607,
"output_voltage": "GENERAL",
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"three_state": "(TE_B)",
"timing": [
{
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"index_2": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"values": [
[
5.72513,
0.309669,
0.305716,
0.303422,
0.299345,
0.296732,
0.293814,
0.290638,
0.287243,
0.283653,
0.279881,
0.275922,
0.271735,
0.26718,
0.261798,
0.254301,
0.242728,
0.226068,
0.204517,
0.178631,
0.148944,
0.1159,
0.0798677,
0.0411506,
3.39629e-07,
-0.0895217,
-0.187419,
-0.526702,
-6.21695
],
[
5.68923,
0.274159,
0.271266,
0.270691,
0.270049,
0.269692,
0.269299,
0.268855,
0.268328,
0.267635,
0.266575,
0.26487,
0.262289,
0.258497,
0.252813,
0.244262,
0.232034,
0.215777,
0.195482,
0.171302,
0.143453,
0.112171,
0.077689,
0.0402294,
9.26855e-08,
-0.0886972,
-0.187163,
-0.534789,
-6.21879
],
[
5.65769,
0.233485,
0.222617,
0.220819,
0.218905,
0.217896,
0.216844,
0.215743,
0.214582,
0.213348,
0.212021,
0.210572,
0.208951,
0.207059,
0.204627,
0.200855,
0.194345,
0.18412,
0.169811,
0.151316,
0.128674,
0.102018,
0.0715382,
0.0374553,
-2.44993e-07,
-0.0846751,
-0.180949,
-0.529967,
-6.21871
],
[
5.64159,
0.238572,
0.186967,
0.184893,
0.182731,
0.18161,
0.180458,
0.17927,
0.178039,
0.176758,
0.175415,
0.173996,
0.172478,
0.170826,
0.168978,
0.166795,
0.163833,
0.158773,
0.14985,
0.136252,
0.117849,
0.0947648,
0.0672332,
0.0355436,
-5.57269e-07,
-0.0819673,
-0.176687,
-0.525309,
-6.21837
],
[
5.62458,
0.324534,
0.145277,
0.143146,
0.140956,
0.139834,
0.138692,
0.137525,
0.136331,
0.135105,
0.133841,
0.132531,
0.131164,
0.129726,
0.128194,
0.12653,
0.124663,
0.122397,
0.118983,
0.112376,
0.100664,
0.0833422,
0.0605412,
0.0326156,
-4.09629e-08,
-0.078019,
-0.170567,
-0.518387,
-6.2178
],
[
5.61622,
0.394273,
0.123256,
0.12116,
0.119018,
0.117926,
0.116819,
0.115693,
0.114545,
0.113374,
0.112174,
0.110939,
0.109663,
0.108336,
0.106943,
0.105462,
0.103857,
0.10205,
0.0998093,
0.0961406,
0.0885658,
0.0752218,
0.0557926,
0.030556,
-2.27629e-08,
-0.0753759,
-0.166555,
-0.51387,
-6.21742
],
[
5.60823,
0.47534,
0.101027,
0.0989981,
0.0969419,
0.0958988,
0.0948441,
0.0937762,
0.0926931,
0.0915925,
0.0904714,
0.0893261,
0.0881516,
0.0869415,
0.0856866,
0.084374,
0.0829835,
0.0814796,
0.0797826,
0.0776065,
0.0736203,
0.0648856,
0.0496853,
0.027908,
-2.02914e-08,
-0.0721161,
-0.161708,
-0.508507,
-6.21695
],
[
5.60061,
0.564372,
0.0791243,
0.0771364,
0.0752052,
0.0742302,
0.0732478,
0.072257,
0.0712564,
0.0702445,
0.0692194,
0.0681786,
0.0671189,
0.0660364,
0.0649255,
0.0637786,
0.0625846,
0.0613256,
0.0599689,
0.0584327,
0.0563586,
0.051944,
0.0417999,
0.0244502,
-3.07537e-08,
-0.0680432,
-0.155809,
-0.502162,
-6.2164
],
[
5.59294,
0.658433,
0.0585833,
0.0561526,
0.0543928,
0.0535094,
0.0526228,
0.0517322,
0.050837,
0.0499362,
0.0490287,
0.0481131,
0.0471875,
0.0462496,
0.0452964,
0.0443236,
0.0433255,
0.0422932,
0.0412124,
0.0400547,
0.0387376,
0.0367976,
0.0317712,
0.0198947,
-3.26336e-08,
-0.0628675,
-0.148559,
-0.494669,
-6.21574
],
[
5.58407,
0.753214,
0.0423299,
0.0368326,
0.0352987,
0.0345395,
0.0337814,
0.0330239,
0.0322668,
0.0315097,
0.030752,
0.0299931,
0.0292321,
0.0284679,
0.0276991,
0.0269238,
0.0261392,
0.0253416,
0.0245251,
0.0236794,
0.0227825,
0.0217534,
0.0199604,
0.0140186,
-6.62146e-08,
-0.056145,
-0.139526,
-0.485822,
-6.21496
],
[
5.57391,
0.839806,
0.0386165,
0.0204371,
0.0191119,
0.0185271,
0.0179479,
0.0173743,
0.0168063,
0.0162437,
0.0156865,
0.0151345,
0.0145875,
0.0140451,
0.0135071,
0.0129726,
0.012441,
0.0119109,
0.0113805,
0.0108468,
0.010304,
0.00973741,
0.00906805,
0.00732629,
-8.23212e-07,
-0.047179,
-0.128067,
-0.475358,
-6.21405
],
[
5.56481,
0.906908,
0.0616639,
0.00937715,
0.00757857,
0.00722982,
0.0068918,
0.00656285,
0.00624292,
0.00593199,
0.00562998,
0.00533683,
0.00505243,
0.00477665,
0.0045093,
0.00425017,
0.00399894,
0.00375523,
0.00351851,
0.00328797,
0.00306234,
0.00283898,
0.00260881,
0.00226982,
-1.30164e-05,
-0.0349645,
-0.113178,
-0.462948,
-6.213
],
[
5.55958,
0.956887,
0.116746,
0.00810161,
0.00196471,
0.00181072,
0.00168774,
0.00157129,
0.00146053,
0.00135518,
0.00125497,
0.00115966,
0.00106904,
0.000982857,
0.000900897,
0.000822915,
0.000748676,
0.000677934,
0.000610414,
0.000545828,
0.000483793,
0.000423756,
0.000364357,
0.000295451,
-0.000178483,
-0.0195132,
-0.0934022,
-0.448337,
-6.21206
],
[
5.55935,
0.9972,
0.191401,
0.0245042,
0.000395701,
4.21832e-05,
-5.84385e-05,
-0.000150321,
-0.00023969,
-0.000327587,
-0.000414385,
-0.000500282,
-0.000585399,
-0.000669843,
-0.000753682,
-0.000836985,
-0.000919819,
-0.00100226,
-0.00108433,
-0.00116612,
-0.00124772,
-0.00132923,
-0.00141087,
-0.00149427,
-0.001656,
-0.00834573,
-0.0684592,
-0.432539,
-6.21346
],
[
5.56181,
1.03003,
0.259215,
0.0640517,
7.75004e-05,
-0.00201641,
-0.00245935,
-0.00283974,
-0.00320743,
-0.00356984,
-0.00392931,
-0.00428683,
-0.00464288,
-0.00499771,
-0.00535147,
-0.00570425,
-0.00605611,
-0.00640705,
-0.00675712,
-0.00710635,
-0.00745477,
-0.00780241,
-0.00814939,
-0.00849603,
-0.00885679,
-0.0109776,
-0.0482596,
-0.420455,
-6.22452
],
[
5.56472,
1.05671,
0.30601,
0.107161,
1.40107e-05,
-0.00958281,
-0.0113845,
-0.0126237,
-0.0137604,
-0.0148512,
-0.0159133,
-0.0169538,
-0.0179767,
-0.0189843,
-0.0199779,
-0.0209588,
-0.0219276,
-0.0228851,
-0.0238316,
-0.0247677,
-0.0256938,
-0.0266102,
-0.0275176,
-0.0284163,
-0.0293092,
-0.03134,
-0.0493747,
-0.418538,
-6.25333
],
[
5.56743,
1.07869,
0.338179,
0.137151,
1.86608e-06,
-0.0271602,
-0.0344245,
-0.0372911,
-0.0395555,
-0.0416181,
-0.043573,
-0.045456,
-0.0472846,
-0.0490689,
-0.0508149,
-0.052527,
-0.0542082,
-0.0558607,
-0.0574865,
-0.0590869,
-0.0606634,
-0.0622171,
-0.0637494,
-0.0652613,
-0.0667545,
-0.0697427,
-0.0775437,
-0.426099,
-6.29962
],
[
5.56988,
1.09708,
0.361509,
0.157254,
1.58696e-07,
-0.0469692,
-0.0691084,
-0.0762722,
-0.0801348,
-0.0832564,
-0.0860755,
-0.088725,
-0.0912611,
-0.0937127,
-0.0960967,
-0.0984239,
-0.100701,
-0.102935,
-0.105127,
-0.107282,
-0.109402,
-0.111489,
-0.113545,
-0.115573,
-0.117573,
-0.121511,
-0.126416,
-0.435922,
-6.35716
],
[
5.57209,
1.11266,
0.379184,
0.171406,
2.05471e-08,
-0.0623185,
-0.103045,
-0.122122,
-0.129637,
-0.13428,
-0.138073,
-0.141483,
-0.14467,
-0.147709,
-0.150637,
-0.153479,
-0.15625,
-0.158959,
-0.161613,
-0.164219,
-0.16678,
-0.1693,
-0.171781,
-0.174227,
-0.17664,
-0.181379,
-0.186233,
-0.446758,
-6.42021
],
[
5.57409,
1.12601,
0.393023,
0.181854,
1.31832e-08,
-0.0731712,
-0.129201,
-0.165146,
-0.182256,
-0.190106,
-0.195345,
-0.199669,
-0.203548,
-0.207163,
-0.2106,
-0.213906,
-0.217109,
-0.220229,
-0.223277,
-0.226264,
-0.229195,
-0.232076,
-0.234911,
-0.237704,
-0.240459,
-0.245866,
-0.251207,
-0.462584,
-6.48547
],
[
5.57591,
1.13754,
0.404139,
0.189866,
1.37966e-08,
-0.0809057,
-0.148235,
-0.199082,
-0.231206,
-0.246915,
-0.255004,
-0.26069,
-0.265431,
-0.269685,
-0.273643,
-0.277399,
-0.281008,
-0.2845,
-0.287899,
-0.291218,
-0.294469,
-0.297659,
-0.300794,
-0.303881,
-0.306923,
-0.312889,
-0.318736,
-0.484897,
-6.55177
],
[
5.57757,
1.14758,
0.413246,
0.196192,
1.31444e-08,
-0.0865978,
-0.162185,
-0.22443,
-0.270855,
-0.299794,
-0.314413,
-0.32264,
-0.328654,
-0.333715,
-0.338264,
-0.342493,
-0.346503,
-0.350351,
-0.354073,
-0.357692,
-0.361225,
-0.364684,
-0.368078,
-0.371414,
-0.374699,
-0.381132,
-0.387419,
-0.513959,
-6.61846
],
[
5.57908,
1.15636,
0.420827,
0.201303,
1.31453e-08,
-0.0909266,
-0.172657,
-0.243413,
-0.301128,
-0.34366,
-0.369846,
-0.383557,
-0.391838,
-0.398077,
-0.403374,
-0.408146,
-0.412583,
-0.416788,
-0.42082,
-0.424717,
-0.428505,
-0.432201,
-0.435818,
-0.439367,
-0.442855,
-0.449674,
-0.456323,
-0.549643,
-6.68496
],
[
5.58047,
1.16408,
0.42722,
0.205509,
1.33717e-08,
-0.0943125,
-0.180724,
-0.257885,
-0.324178,
-0.377764,
-0.416764,
-0.440512,
-0.453431,
-0.461686,
-0.468062,
-0.473519,
-0.478448,
-0.483033,
-0.487376,
-0.491539,
-0.495561,
-0.499468,
-0.503279,
-0.507007,
-0.510664,
-0.517795,
-0.524728,
-0.591589,
-6.75068
],
[
5.58174,
1.17089,
0.432667,
0.209021,
1.37788e-08,
-0.097023,
-0.187087,
-0.269152,
-0.341965,
-0.404062,
-0.453801,
-0.489509,
-0.511064,
-0.523257,
-0.531416,
-0.537846,
-0.543392,
-0.548412,
-0.553085,
-0.557512,
-0.561753,
-0.565849,
-0.569825,
-0.573702,
-0.577493,
-0.584862,
-0.592001,
-0.639232,
-6.81507
],
[
5.58396,
1.18228,
0.441406,
0.214525,
1.53217e-08,
-0.101066,
-0.196404,
-0.285339,
-0.367086,
-0.440727,
-0.505215,
-0.559367,
-0.601849,
-0.631389,
-0.649109,
-0.659915,
-0.66766,
-0.673955,
-0.67945,
-0.684447,
-0.689105,
-0.693516,
-0.697738,
-0.701809,
-0.705757,
-0.713356,
-0.720641,
-0.747364,
-6.9371
],
[
5.58581,
1.19127,
0.448032,
0.218598,
1.83496e-08,
-0.103909,
-0.202818,
-0.296234,
-0.383601,
-0.464289,
-0.537592,
-0.602731,
-0.658859,
-0.705049,
-0.740248,
-0.763849,
-0.778122,
-0.787389,
-0.794339,
-0.800104,
-0.805178,
-0.809801,
-0.81411,
-0.818183,
-0.822073,
-0.829433,
-0.836368,
-0.857431,
-7.04394
],
[
5.5895,
1.2085,
0.460308,
0.225952,
4.44922e-08,
-0.108762,
-0.213499,
-0.31388,
-0.409531,
-0.500035,
-0.58492,
-0.663644,
-0.735574,
-0.799971,
-0.856079,
-0.903227,
-0.940927,
-0.969385,
-0.989892,
-1.00414,
-1.01349,
-1.01924,
-1.02291,
-1.02556,
-1.02769,
-1.03112,
-1.03399,
-1.0426,
-7.2067
],
[
5.59145,
1.21891,
0.468403,
0.230771,
1.99664e-07,
-0.11183,
-0.220124,
-0.324557,
-0.424751,
-0.520255,
-0.610522,
-0.694848,
-0.772288,
-0.841595,
-0.901279,
-0.949568,
-0.985685,
-1.01193,
-1.03191,
-1.04819,
-1.06214,
-1.07451,
-1.08569,
-1.09591,
-1.10528,
-1.12155,
-1.13407,
-1.14929,
-7.31094
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.008245,
"miller_cap_rise": 0.0073073,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.010828
],
"index_3": [
0.0282381,
0.0386598,
0.0494525,
0.0619383,
0.0858087
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.01
],
"index_2": [
0.032484
],
"index_3": [
0.0347291,
0.0575055,
0.0810198,
0.1076177,
0.1533826
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.010828
],
"index_3": [
0.0958455,
0.1067246,
0.1174285,
0.1298249,
0.1538407
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.032484
],
"index_3": [
0.1039445,
0.1266265,
0.1503021,
0.1769524,
0.2225719
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00264293
],
"index_3": [
0.0688702,
0.0922249,
0.1162962,
0.1428026,
0.2029029
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.01
],
"index_2": [
0.00792879
],
"index_3": [
0.0795322,
0.1153808,
0.152409,
0.1924841,
0.2710334
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00264293
],
"index_3": [
0.1264616,
0.1491849,
0.17326,
0.200984,
0.2608955
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00792879
],
"index_3": [
0.1364376,
0.1718641,
0.2090679,
0.2490115,
0.328362
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
1.03673
],
"index_2": [
1.91983
],
"index_3": [
0.010828
],
"index_4": [
0.9737177,
1.0581277,
1.4202129,
1.6165471,
1.725504
],
"values": [
1.07578,
0.761252,
0.551565,
0.761252,
1.07578
]
},
{
"index_1": [
1.11541
],
"index_2": [
1.09924
],
"index_3": [
0.010828
],
"index_4": [
0.5640618,
0.6218177,
0.8576766,
0.9835853,
1.0583822
],
"values": [
1.06313,
0.741006,
0.526257,
0.741006,
1.06313
]
},
{
"index_1": [
1.18814
],
"index_2": [
0.730405
],
"index_3": [
0.010828
],
"index_4": [
0.3792594,
0.4238217,
0.5953082,
0.6860709,
0.7426011
],
"values": [
1.05544,
0.728709,
0.510886,
0.728709,
1.05544
]
},
{
"index_1": [
1.03673
],
"index_2": [
0.959917
],
"index_3": [
0.010828
],
"index_4": [
0.5078452,
0.5645291,
0.7426269,
0.8336272,
0.8939893
],
"values": [
1.33387,
1.17418,
1.06773,
1.17418,
1.33387
]
},
{
"index_1": [
1.18814
],
"index_2": [
0.365202
],
"index_3": [
0.010828
],
"index_4": [
0.2041793,
0.2378828,
0.31109,
0.3578835,
0.3959641
],
"values": [
1.34113,
1.18581,
1.08227,
1.18581,
1.34113
]
},
{
"index_1": [
1.11541
],
"index_2": [
1.09924
],
"index_3": [
0.032484
],
"index_4": [
0.5702391,
0.6307349,
0.8563205,
1.0015952,
1.097134
],
"values": [
1.34487,
1.19179,
1.08973,
1.19179,
1.34487
]
},
{
"index_1": [
1.18814
],
"index_2": [
0.730405
],
"index_3": [
0.032484
],
"index_4": [
0.3853632,
0.4315232,
0.5833815,
0.699486,
0.7766845
],
"values": [
1.35148,
1.20237,
1.10296,
1.20237,
1.35148
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.16487
],
"index_2": [
6.60059
],
"index_3": [
0.00264293
],
"index_4": [
3.3266385,
3.5312883,
4.153686,
4.5146986,
4.6700874
],
"values": [
0.560354,
0.896566,
1.12071,
0.896566,
0.560354
]
},
{
"index_1": [
1.22928
],
"index_2": [
3.77676
],
"index_3": [
0.00264293
],
"index_4": [
1.9165403,
2.0598983,
2.4771263,
2.7048256,
2.8017434
],
"values": [
0.599761,
0.959618,
1.19952,
0.959618,
0.599761
]
},
{
"index_1": [
1.28765
],
"index_2": [
2.49931
],
"index_3": [
0.00264293
],
"index_4": [
1.27731,
1.387604,
1.6822701,
1.8538788,
1.9235456
],
"values": [
0.623206,
0.997129,
1.24641,
0.997129,
0.623206
]
},
{
"index_1": [
1.16487
],
"index_2": [
3.3003
],
"index_3": [
0.00264293
],
"index_4": [
1.7074952,
1.837678,
2.1455906,
2.3063351,
2.3802505
],
"values": [
0.31605,
0.50568,
0.6321,
0.50568,
0.31605
]
},
{
"index_1": [
1.28765
],
"index_2": [
1.24965
],
"index_3": [
0.00264293
],
"index_4": [
0.6682074,
0.7414144,
0.8741972,
0.9468579,
0.9811106
],
"values": [
0.340357,
0.544571,
0.680713,
0.544571,
0.340357
]
},
{
"index_1": [
1.22928
],
"index_2": [
3.77676
],
"index_3": [
0.00792879
],
"index_4": [
1.9248999,
2.0736427,
2.4841778,
2.7314078,
2.8278926
],
"values": [
0.413784,
0.662055,
0.827568,
0.662055,
0.413784
]
},
{
"index_1": [
1.28765
],
"index_2": [
2.49931
],
"index_3": [
0.00792879
],
"index_4": [
1.2816462,
1.3961518,
1.6928,
1.8719048,
1.9417683
],
"values": [
0.430952,
0.689524,
0.861905,
0.689524,
0.430952
]
}
]
},
"stage_type": "both"
},
"cell_fall del_1_7_7": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5
],
"index_2": [
0.0090986,
0.0102478,
0.0140385,
0.026542,
0.0677842,
0.20382,
0.652529
],
"values": [
[
0.0287446,
0.030681,
0.0365956,
0.0546733,
0.1128113,
0.305308,
0.9439871
],
[
0.0356309,
0.0376288,
0.0439376,
0.0626043,
0.1209685,
0.313905,
0.9521788
],
[
0.0569154,
0.0595583,
0.0667085,
0.0858323,
0.1447176,
0.3379951,
0.9767863
],
[
0.0964389,
0.1009847,
0.1136731,
0.1456735,
0.2109736,
0.404419,
1.043206
],
[
0.1658754,
0.1741407,
0.1983682,
0.2557354,
0.3731322,
0.5940083,
1.2261457
],
[
0.2732143,
0.2866433,
0.3370795,
0.4544122,
0.6707196,
1.0598391,
1.7625753
],
[
0.3838929,
0.424492,
0.5390064,
0.7746756,
1.2007764,
1.9170432,
3.1144545
]
]
},
"cell_rise del_1_7_7": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5
],
"index_2": [
0.0090986,
0.0102478,
0.0140385,
0.026542,
0.0677842,
0.20382,
0.652529
],
"values": [
[
0.0929034,
0.1022397,
0.1299195,
0.2101183,
0.4570515,
1.2633588,
3.9131516
],
[
0.0952337,
0.1038721,
0.1311931,
0.213103,
0.4637306,
1.2730537,
3.9177168
],
[
0.1169319,
0.1247453,
0.1500821,
0.231308,
0.4833499,
1.2971673,
3.9462957
],
[
0.1933753,
0.2003118,
0.2235427,
0.3018656,
0.5515216,
1.3695806,
4.0247961
],
[
0.3829455,
0.3954372,
0.431827,
0.5222491,
0.767759,
1.581912,
4.2466879
],
[
0.7933814,
0.8182026,
0.8921809,
1.0562397,
1.3942173,
2.2038882,
4.8557118
],
[
1.7273654,
1.7768333,
1.9146746,
2.2364159,
2.8583704,
3.9794843,
6.6282202
]
]
},
"fall_transition del_1_7_7": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5
],
"index_2": [
0.0090986,
0.0102478,
0.0140385,
0.026542,
0.0677842,
0.20382,
0.652529
],
"values": [
[
0.0162145,
0.0183174,
0.0254947,
0.0490833,
0.1272714,
0.3872052,
1.2479451
],
[
0.0161325,
0.0183156,
0.0254799,
0.0490033,
0.1281808,
0.3874449,
1.2508826
],
[
0.0208756,
0.0225459,
0.0278122,
0.0492942,
0.1281455,
0.3874824,
1.2436825
],
[
0.0370752,
0.0398475,
0.0480613,
0.0692758,
0.1329695,
0.387194,
1.2507231
],
[
0.0773728,
0.0822276,
0.094963,
0.1284079,
0.2011341,
0.4054737,
1.2366552
],
[
0.1804699,
0.1953023,
0.2150139,
0.2604461,
0.3737702,
0.6117203,
1.2809646
],
[
0.4510622,
0.4623969,
0.4874064,
0.57723,
0.7598735,
1.1132747,
1.8451494
]
]
},
"related_pin": "A",
"rise_transition del_1_7_7": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5
],
"index_2": [
0.0090986,
0.0102478,
0.0140385,
0.026542,
0.0677842,
0.20382,
0.652529
],
"values": [
[
0.0645681,
0.073433,
0.1028735,
0.199259,
0.516485,
1.5579154,
4.9874669
],
[
0.0645877,
0.0734107,
0.1026897,
0.1985027,
0.5166955,
1.5568793,
4.9975801
],
[
0.0638924,
0.0728406,
0.1023679,
0.200131,
0.5153838,
1.5536961,
4.9880584
],
[
0.0677129,
0.0751287,
0.1020438,
0.1989123,
0.514619,
1.5531287,
4.9755262
],
[
0.1185224,
0.126945,
0.1526336,
0.2235224,
0.5133554,
1.5601017,
4.9823128
],
[
0.2426911,
0.255991,
0.2925606,
0.3895486,
0.6180447,
1.5579817,
4.9753885
],
[
0.5394241,
0.5613697,
0.624559,
0.7829855,
1.1149903,
1.8358798,
4.9932889
]
]
},
"timing_sense": "negative_unate",
"timing_type": "combinational"
},
{
"cell_fall del_1_7_7": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5
],
"index_2": [
0.0090986,
0.0102478,
0.0140385,
0.026542,
0.0677842,
0.20382,
0.652529
],
"values": [
[
0.2494013,
0.2526253,
0.2622473,
0.2886514,
0.3574404,
0.5567808,
1.1951902
],
[
0.2575353,
0.260796,
0.2703468,
0.2966785,
0.3655473,
0.5649018,
1.2041432
],
[
0.2839292,
0.2871196,
0.2968411,
0.3230946,
0.3920159,
0.5912703,
1.2304024
],
[
0.3656294,
0.368975,
0.3784796,
0.4048397,
0.4736865,
0.6732059,
1.3115743
],
[
0.6027431,
0.6057332,
0.6156655,
0.6416689,
0.7108983,
0.9101987,
1.5491779
],
[
1.2024762,
1.2036221,
1.2195928,
1.2493943,
1.3300046,
1.5332695,
2.1708395
],
[
2.5131033,
2.5211144,
2.5430558,
2.5950422,
2.6999929,
2.9272367,
3.571125
]
]
},
"cell_rise del_1_7_7": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5
],
"index_2": [
0.0090986,
0.0102478,
0.0140385,
0.026542,
0.0677842,
0.20382,
0.652529
],
"values": [
[
0.1191165,
0.126149,
0.1487377,
0.2234251,
0.4677519,
1.2716327,
3.9279668
],
[
0.1277655,
0.1347003,
0.1573086,
0.2319916,
0.4762556,
1.2787803,
3.9533181
],
[
0.157207,
0.1642093,
0.1868889,
0.2612184,
0.5055372,
1.309491,
3.9658445
],
[
0.2426987,
0.2496709,
0.2723237,
0.3470305,
0.5913094,
1.3937904,
4.0678634
],
[
0.4543233,
0.4632045,
0.4907466,
0.5715741,
0.8161592,
1.619975,
4.2669352
],
[
0.9482773,
0.9625367,
1.0103912,
1.1304541,
1.4267544,
2.2352054,
4.89882
],
[
2.0972921,
2.1295323,
2.2230842,
2.4613962,
2.9515799,
3.9564552,
6.6228312
]
]
},
"fall_transition del_1_7_7": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5
],
"index_2": [
0.0090986,
0.0102478,
0.0140385,
0.026542,
0.0677842,
0.20382,
0.652529
],
"values": [
[
0.0348187,
0.0372253,
0.0446129,
0.0681156,
0.1429793,
0.3917209,
1.240052
],
[
0.0349909,
0.0373245,
0.044599,
0.0681671,
0.1425673,
0.3918171,
1.2365202
],
[
0.0349989,
0.0371899,
0.0446414,
0.0681548,
0.1427658,
0.3922347,
1.2363185
],
[
0.0349911,
0.0371761,
0.0446365,
0.0684341,
0.1427179,
0.3921524,
1.2397597
],
[
0.0349369,
0.0373243,
0.0447121,
0.0681505,
0.1425653,
0.3916863,
1.2419127
],
[
0.050565,
0.053135,
0.0602424,
0.0825525,
0.1531956,
0.3957919,
1.2395341
],
[
0.0897763,
0.0925306,
0.1020342,
0.1260344,
0.1934469,
0.4204981,
1.2422324
]
]
},
"related_pin": "TE_B",
"rise_transition del_1_7_7": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5
],
"index_2": [
0.0090986,
0.0102478,
0.0140385,
0.026542,
0.0677842,
0.20382,
0.652529
],
"values": [
[
0.0663514,
0.0754128,
0.1043357,
0.2004347,
0.514866,
1.5594076,
4.9965285
],
[
0.0665471,
0.0754778,
0.1043912,
0.2006378,
0.5145662,
1.5546963,
5.0050146
],
[
0.0662496,
0.0749249,
0.1045602,
0.2007082,
0.5151468,
1.5596217,
4.9963966
],
[
0.0672359,
0.0759848,
0.1044733,
0.2008848,
0.5148785,
1.5548695,
5.0039741
],
[
0.0863081,
0.0952944,
0.1230766,
0.2099576,
0.5152238,
1.5646182,
4.9701092
],
[
0.1499324,
0.1615007,
0.1957469,
0.2945885,
0.5663643,
1.5556937,
4.9868865
],
[
0.3300603,
0.3491065,
0.407269,
0.5432729,
0.8524975,
1.7095809,
4.9901265
]
]
},
"timing_sense": "negative_unate",
"timing_type": "three_state_enable"
},
{
"cell_fall del_1_7_7": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5
],
"index_2": [
0.0090986,
0.0102478,
0.0140385,
0.026542,
0.0677842,
0.20382,
0.652529
],
"values": [
[
0.0652897,
0.0660746,
0.0660746,
0.0660746,
0.0660746,
0.0660746,
0.0660746
],
[
0.0744214,
0.0749227,
0.0749227,
0.0749227,
0.0749227,
0.0749227,
0.0749227
],
[
0.074766,
0.0784592,
0.0826284,
0.0827763,
0.0827875,
0.0827875,
0.0827875
],
[
0.0113462,
0.0176651,
0.0331623,
0.0331623,
0.0333435,
0.0333435,
0.0333435
],
[
-0.1396164,
-0.1339596,
-0.1229412,
-0.120989,
-0.120989,
-0.120989,
-0.120989
],
[
-0.5597084,
-0.5436966,
-0.5126345,
-0.4784125,
-0.4784125,
-0.4784125,
-0.4784125
],
[
-1.6736145,
-1.6290582,
-1.5446959,
-1.5446959,
-1.5446959,
-1.5446959,
-1.5446959
]
]
},
"cell_rise del_1_7_7": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5
],
"index_2": [
0.0090986,
0.0102478,
0.0140385,
0.026542,
0.0677842,
0.20382,
0.652529
],
"values": [
[
0.08794,
0.08794,
0.0880355,
0.0880355,
0.0880355,
0.0880355,
0.0880355
],
[
0.0960422,
0.0960699,
0.0960699,
0.0960699,
0.0960699,
0.0960699,
0.0960699
],
[
0.1225137,
0.1226082,
0.1226082,
0.1226082,
0.1226082,
0.1226082,
0.1226132
],
[
0.195658,
0.195658,
0.195658,
0.195658,
0.195658,
0.195658,
0.1957464
],
[
0.354596,
0.354596,
0.354596,
0.354596,
0.354596,
0.354596,
0.354596
],
[
0.6792293,
0.6854035,
0.6854035,
0.6854035,
0.6854035,
0.6854035,
0.6854035
],
[
1.3545185,
1.3545185,
1.3545185,
1.3545185,
1.3545185,
1.3545185,
1.3545185
]
]
},
"fall_transition scalar": {
"values": [
0.0
]
},
"related_pin": "TE_B",
"rise_transition scalar": {
"values": [
0.0
]
},
"timing_sense": "positive_unate",
"timing_type": "three_state_disable"
}
]
}
}