blob: ca3b5aa76f790bc1d512ec07b3403b7500665dfd [file] [log] [blame]
{
"area": 21.2704,
"cell_footprint": "dlrbn",
"cell_leakage_power": 0.02771316,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"latch IQ,IQ_N": {
"clear": "!RESET_B",
"data_in": "D",
"enable": "!GATE_N"
},
"leakage_power": [
{
"value": 0.0301028,
"when": "RESET_B&D&GATE_N&!Q&Q_N"
},
{
"value": 0.0270673,
"when": "RESET_B&!D&GATE_N&Q&!Q_N"
},
{
"value": 0.0347677,
"when": "RESET_B&D&!GATE_N&Q&!Q_N"
},
{
"value": 0.0222836,
"when": "RESET_B&!D&GATE_N&!Q&Q_N"
},
{
"value": 0.0227322,
"when": "!RESET_B&!D&!GATE_N&!Q&Q_N"
},
{
"value": 0.02275,
"when": "RESET_B&!D&!GATE_N&!Q&Q_N"
},
{
"value": 0.0347384,
"when": "RESET_B&D&GATE_N&Q&!Q_N"
},
{
"value": 0.0303388,
"when": "!RESET_B&D&!GATE_N&!Q&Q_N"
},
{
"value": 0.0222658,
"when": "!RESET_B&!D&GATE_N&!Q&Q_N"
},
{
"value": 0.030085,
"when": "!RESET_B&D&GATE_N&!Q&Q_N"
}
],
"pg_pin VGND": {
"pg_type": "primary_ground",
"voltage_name": "VGND"
},
"pg_pin VNB": {
"pg_type": "primary_ground",
"voltage_name": "VNB"
},
"pg_pin VPB": {
"pg_type": "primary_power",
"voltage_name": "VPB"
},
"pg_pin VPWR": {
"pg_type": "primary_power",
"voltage_name": "VPWR"
},
"pin D": {
"capacitance": 0.001864,
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
3.84625,
0.483249,
0.349156,
0.334927,
0.318061,
0.308579,
0.298392,
0.287502,
0.275911,
0.263622,
0.250639,
0.236967,
0.222612,
0.207581,
0.19188,
0.175518,
0.158501,
0.140841,
0.122545,
0.103623,
0.0840859,
0.0639439,
0.0432081,
0.0218896,
-4.00999e-09,
-0.0428705,
-0.0834362,
-0.234886,
-2.41297
],
[
3.76083,
0.409204,
0.287451,
0.2798,
0.271365,
0.266734,
0.261732,
0.256245,
0.25012,
0.243153,
0.235135,
0.225944,
0.215556,
0.203976,
0.191208,
0.177256,
0.162124,
0.14582,
0.128354,
0.109739,
0.0899884,
0.0691197,
0.0471514,
0.024104,
-1.36959e-08,
-0.0476725,
-0.0928376,
-0.253901,
-2.4165
],
[
3.68505,
0.339064,
0.217389,
0.212047,
0.20639,
0.203408,
0.200296,
0.197025,
0.193557,
0.189835,
0.185783,
0.18128,
0.176145,
0.170099,
0.162786,
0.153938,
0.143461,
0.131332,
0.11754,
0.102081,
0.084955,
0.0661687,
0.0457345,
0.0236705,
-3.52825e-08,
-0.0479448,
-0.0942618,
-0.258423,
-2.41728
],
[
3.65885,
0.326454,
0.188684,
0.184005,
0.179101,
0.176541,
0.17389,
0.17113,
0.168238,
0.16518,
0.161911,
0.158363,
0.154436,
0.14997,
0.144704,
0.138255,
0.130236,
0.120451,
0.10884,
0.095374,
0.0800387,
0.062828,
0.0437436,
0.0227952,
-2.17709e-09,
-0.0469085,
-0.0929021,
-0.257412,
-2.41718
],
[
3.63063,
0.320061,
0.157822,
0.153769,
0.149567,
0.147392,
0.145156,
0.142848,
0.140453,
0.137951,
0.135317,
0.132513,
0.129485,
0.12615,
0.122376,
0.117937,
0.112455,
0.105446,
0.0965687,
0.0856889,
0.0727514,
0.0577258,
0.0405947,
0.0213515,
-2.00093e-09,
-0.0449746,
-0.0900754,
-0.254398,
-2.4168
],
[
3.61592,
0.317178,
0.141861,
0.138034,
0.134167,
0.132174,
0.130133,
0.128033,
0.125865,
0.123612,
0.121256,
0.118769,
0.116111,
0.113225,
0.110018,
0.106337,
0.101909,
0.0962788,
0.088932,
0.0795689,
0.068075,
0.0543968,
0.038502,
0.020372,
-2.03752e-09,
-0.0435933,
-0.0879819,
-0.251967,
-2.41647
],
[
3.60097,
0.314678,
0.126346,
0.122044,
0.118505,
0.116688,
0.114833,
0.112934,
0.11098,
0.108961,
0.106863,
0.104665,
0.102339,
0.0998448,
0.0971192,
0.0940599,
0.0904868,
0.0860667,
0.0802571,
0.0725346,
0.0626463,
0.0504931,
0.0360216,
0.0191973,
-3.62665e-09,
-0.0418926,
-0.0853607,
-0.248825,
-2.41604
],
[
3.58591,
0.312639,
0.113638,
0.105962,
0.102734,
0.10109,
0.0994158,
0.0977076,
0.0959587,
0.0941608,
0.0923034,
0.0903719,
0.0883467,
0.0861996,
0.0838882,
0.081346,
0.0784589,
0.0750146,
0.0705983,
0.0645716,
0.0564348,
0.045983,
0.033127,
0.0178119,
-3.65094e-08,
-0.0398403,
-0.0821554,
-0.244899,
-2.41549
],
[
3.57095,
0.311246,
0.106451,
0.0901012,
0.0870472,
0.0855702,
0.084072,
0.082549,
0.0809964,
0.0794085,
0.0777776,
0.0760938,
0.0743433,
0.0725073,
0.0705579,
0.0684525,
0.0661211,
0.0634377,
0.0601475,
0.0557213,
0.049434,
0.040848,
0.0297992,
0.0162026,
-8.2141e-07,
-0.0374085,
-0.0783129,
-0.240123,
-2.4148
],
[
3.55629,
0.310693,
0.104213,
0.0755432,
0.0716731,
0.0703594,
0.0690323,
0.0676884,
0.0663246,
0.0649368,
0.0635199,
0.0620671,
0.0605695,
0.0590145,
0.0573847,
0.0556537,
0.0537796,
0.0516909,
0.0492486,
0.0461371,
0.0416688,
0.0350784,
0.0260169,
0.0143468,
-1.85033e-05,
-0.0345911,
-0.0738054,
-0.234467,
-2.41401
],
[
3.54218,
0.311203,
0.105017,
0.0651093,
0.0568851,
0.0557049,
0.0545332,
0.0533518,
0.0521584,
0.0509503,
0.0497243,
0.048476,
0.0472,
0.0458884,
0.0445308,
0.0431115,
0.0416069,
0.0399781,
0.038154,
0.0359816,
0.0330485,
0.0284746,
0.021539,
0.0119782,
-0.000333764,
-0.0316827,
-0.0689362,
-0.228328,
-2.41376
],
[
3.52892,
0.313034,
0.10787,
0.0606435,
0.0430005,
0.0416436,
0.0405031,
0.0393627,
0.0382167,
0.0370631,
0.0358999,
0.0347247,
0.0335343,
0.0323245,
0.0310894,
0.0298208,
0.028506,
0.0271254,
0.0256453,
0.023999,
0.0220153,
0.0191242,
0.0142741,
0.00686915,
-0.0032953,
-0.0312498,
-0.0664789,
-0.225204,
-2.41903
],
[
3.51683,
0.316476,
0.112459,
0.0602781,
0.0303813,
0.0271176,
0.0255402,
0.0240665,
0.0226114,
0.0211622,
0.0197144,
0.0182656,
0.0168137,
0.0153563,
0.0138907,
0.0124127,
0.0109167,
0.00939376,
0.00782947,
0.00619758,
0.0044398,
0.00235717,
-0.000851181,
-0.00630681,
-0.0144499,
-0.0392318,
-0.0727425,
-0.232663,
-2.43976
],
[
3.50627,
0.321853,
0.11878,
0.0620508,
0.0194339,
0.0106042,
0.00732703,
0.00510242,
0.00306063,
0.00108519,
-0.000855675,
-0.00277468,
-0.00467819,
-0.00657007,
-0.00845317,
-0.01033,
-0.0122035,
-0.0140774,
-0.0159569,
-0.0178513,
-0.0197779,
-0.0217829,
-0.0241108,
-0.0278372,
-0.0339961,
-0.055534,
-0.0873017,
-0.249463,
-2.47267
],
[
3.49761,
0.329517,
0.126982,
0.0652115,
0.0106146,
-0.00550908,
-0.0127849,
-0.0162687,
-0.0189814,
-0.0214567,
-0.0238214,
-0.0261212,
-0.0283771,
-0.0306002,
-0.0327972,
-0.0349724,
-0.0371289,
-0.0392693,
-0.0413963,
-0.0435131,
-0.0456246,
-0.0477403,
-0.0498931,
-0.0524085,
-0.0565851,
-0.0743551,
-0.103843,
-0.267917,
-2.50652
],
[
3.49125,
0.33986,
0.137308,
0.069833,
0.00443021,
-0.0186651,
-0.0315171,
-0.0371391,
-0.0406689,
-0.0436181,
-0.0463235,
-0.048897,
-0.0513869,
-0.0538184,
-0.0562059,
-0.0585583,
-0.0608817,
-0.0631804,
-0.065458,
-0.0677175,
-0.0699618,
-0.0721948,
-0.0744223,
-0.0766735,
-0.0794768,
-0.0930397,
-0.119652,
-0.285113,
-2.53724
],
[
3.4876,
0.353271,
0.149936,
0.0762274,
0.00120662,
-0.0281177,
-0.046939,
-0.0558384,
-0.060508,
-0.0640149,
-0.0670775,
-0.0699172,
-0.0726253,
-0.0752473,
-0.0778086,
-0.0803249,
-0.0828066,
-0.085261,
-0.0876933,
-0.0901078,
-0.0925083,
-0.0948984,
-0.0972822,
-0.0996656,
-0.102153,
-0.111664,
-0.134812,
-0.301208,
-2.56515
],
[
3.48695,
0.369631,
0.164221,
0.0838986,
0.000224962,
-0.0346559,
-0.0592553,
-0.0725073,
-0.0788582,
-0.0831327,
-0.0866698,
-0.0898587,
-0.0928513,
-0.0957202,
-0.098505,
-0.101229,
-0.103909,
-0.106553,
-0.109171,
-0.111767,
-0.114346,
-0.116913,
-0.119471,
-0.122027,
-0.124603,
-0.131361,
-0.150116,
-0.316793,
-2.59117
],
[
3.48877,
0.387704,
0.178503,
0.0914881,
4.07231e-05,
-0.0397748,
-0.0697087,
-0.087968,
-0.0966516,
-0.101921,
-0.106032,
-0.109624,
-0.112932,
-0.116066,
-0.119083,
-0.122018,
-0.124893,
-0.12772,
-0.130512,
-0.133274,
-0.136014,
-0.138738,
-0.141449,
-0.144155,
-0.146864,
-0.152647,
-0.16635,
-0.332303,
-2.61592
],
[
3.49161,
0.406206,
0.191741,
0.0983483,
7.87106e-06,
-0.0441474,
-0.0788857,
-0.102324,
-0.114055,
-0.120577,
-0.125352,
-0.129382,
-0.133018,
-0.136418,
-0.139663,
-0.142798,
-0.145854,
-0.148849,
-0.151797,
-0.154707,
-0.157588,
-0.160447,
-0.16329,
-0.166122,
-0.168953,
-0.174697,
-0.184153,
-0.348014,
-2.63982
],
[
3.49466,
0.424727,
0.203651,
0.104424,
1.52566e-06,
-0.0479593,
-0.0869852,
-0.115415,
-0.130901,
-0.13901,
-0.144566,
-0.149079,
-0.153062,
-0.156733,
-0.160202,
-0.163532,
-0.16676,
-0.169911,
-0.173003,
-0.176049,
-0.179057,
-0.182036,
-0.184994,
-0.187938,
-0.190875,
-0.196778,
-0.203878,
-0.364097,
-2.66309
],
[
3.49773,
0.443154,
0.214203,
0.109781,
2.6912e-07,
-0.0512952,
-0.0941333,
-0.127163,
-0.146968,
-0.157097,
-0.163588,
-0.168646,
-0.173002,
-0.176955,
-0.180653,
-0.184175,
-0.187572,
-0.190874,
-0.194103,
-0.197274,
-0.2004,
-0.20349,
-0.206553,
-0.209597,
-0.21263,
-0.218707,
-0.225102,
-0.380658,
-2.68589
],
[
3.50078,
0.461426,
0.223469,
0.114495,
3.59992e-08,
-0.0542164,
-0.100437,
-0.137601,
-0.162031,
-0.174709,
-0.182337,
-0.188021,
-0.192787,
-0.197041,
-0.200975,
-0.204694,
-0.208258,
-0.211708,
-0.21507,
-0.218363,
-0.221601,
-0.224796,
-0.227956,
-0.231093,
-0.234215,
-0.240456,
-0.24682,
-0.397765,
-2.70835
],
[
3.50381,
0.479487,
0.23157,
0.118637,
6.46591e-09,
-0.0567737,
-0.105992,
-0.146827,
-0.175892,
-0.191707,
-0.200737,
-0.207152,
-0.212376,
-0.216955,
-0.221139,
-0.22506,
-0.228796,
-0.232395,
-0.235888,
-0.2393,
-0.242647,
-0.245942,
-0.249197,
-0.252421,
-0.255626,
-0.262021,
-0.268491,
-0.415449,
-2.73052
],
[
3.50682,
0.497282,
0.238637,
0.122271,
5.54391e-09,
-0.0590109,
-0.110882,
-0.154964,
-0.188419,
-0.207938,
-0.218709,
-0.22599,
-0.231733,
-0.236669,
-0.24112,
-0.245254,
-0.249166,
-0.252916,
-0.256543,
-0.260073,
-0.263528,
-0.266921,
-0.270267,
-0.273576,
-0.27686,
-0.283401,
-0.289997,
-0.433723,
-2.75248
],
[
3.51275,
0.531824,
0.250158,
0.128231,
9.19409e-09,
-0.0626715,
-0.118959,
-0.168442,
-0.209408,
-0.23742,
-0.253009,
-0.26259,
-0.269623,
-0.275397,
-0.280454,
-0.285055,
-0.289346,
-0.293415,
-0.297317,
-0.30109,
-0.304762,
-0.308352,
-0.311877,
-0.315352,
-0.318789,
-0.325606,
-0.332446,
-0.471975,
-2.79589
],
[
3.51857,
0.564456,
0.258863,
0.132749,
1.53027e-08,
-0.0654459,
-0.125166,
-0.178867,
-0.225576,
-0.26192,
-0.284358,
-0.297371,
-0.306188,
-0.313043,
-0.318837,
-0.323985,
-0.328707,
-0.333127,
-0.337326,
-0.341357,
-0.345255,
-0.349048,
-0.352756,
-0.356397,
-0.359987,
-0.367072,
-0.374142,
-0.512109,
-2.83876
],
[
3.53484,
0.641451,
0.273463,
0.140263,
5.40964e-08,
-0.0701304,
-0.136025,
-0.197547,
-0.254459,
-0.306134,
-0.35055,
-0.383427,
-0.404011,
-0.417234,
-0.426837,
-0.43453,
-0.441097,
-0.44694,
-0.452284,
-0.457266,
-0.461977,
-0.466476,
-0.470807,
-0.475004,
-0.479091,
-0.487029,
-0.494789,
-0.633593,
-2.96326
],
[
3.55028,
0.67409,
0.276856,
0.141737,
2.14895e-07,
-0.0714368,
-0.140092,
-0.205904,
-0.268805,
-0.328711,
-0.385486,
-0.438825,
-0.487921,
-0.530656,
-0.563644,
-0.586367,
-0.60213,
-0.613941,
-0.623459,
-0.631549,
-0.638686,
-0.64515,
-0.651119,
-0.656709,
-0.662002,
-0.67192,
-0.681209,
-0.822449,
-3.15235
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000621784,
"miller_cap_rise": 0.000517548,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0141613,
0.0193812,
0.0250429,
0.031664,
0.0405326
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.0616091,
0.0736933,
0.0832108,
0.0920268,
0.1021859
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0200126,
0.0302929,
0.0419055,
0.0553528,
0.0772402
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.0786462,
0.0949641,
0.1073765,
0.1207722,
0.1427379
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.969764
],
"index_2": [
0.646868
],
"index_3": [
0.001
],
"index_4": [
0.3174381,
0.3381327,
0.3786244,
0.4179041,
0.4475011
],
"values": [
1.57578,
1.35124,
1.20155,
1.35124,
1.57578
]
},
{
"index_1": [
1.0879
],
"index_2": [
0.347572
],
"index_3": [
0.001
],
"index_4": [
0.1719897,
0.1880089,
0.2146971,
0.2520623,
0.2766268
],
"values": [
1.48765,
1.21024,
1.02529,
1.21024,
1.48765
]
},
{
"index_1": [
1.20731
],
"index_2": [
0.222625
],
"index_3": [
0.001
],
"index_4": [
0.1115792,
0.1243105,
0.1515066,
0.1775703,
0.1974418
],
"values": [
1.44471,
1.14153,
0.939411,
1.14153,
1.44471
]
},
{
"index_1": [
0.969764
],
"index_2": [
0.323434
],
"index_3": [
0.001
],
"index_4": [
0.1624461,
0.1737041,
0.1946665,
0.2173176,
0.2381893
],
"values": [
1.73407,
1.60451,
1.51814,
1.60451,
1.73407
]
},
{
"index_1": [
1.0879
],
"index_2": [
0.173786
],
"index_3": [
0.001
],
"index_4": [
0.0894186,
0.0980831,
0.111673,
0.1321335,
0.1496796
],
"values": [
1.69619,
1.5439,
1.44238,
1.5439,
1.69619
]
},
{
"index_1": [
1.20731
],
"index_2": [
0.111312
],
"index_3": [
0.001
],
"index_4": [
0.0590513,
0.0658268,
0.0789405,
0.0941557,
0.1097558
],
"values": [
1.68444,
1.52511,
1.41888,
1.52511,
1.68444
]
},
{
"index_1": [
1.0879
],
"index_2": [
0.521358
],
"index_3": [
0.001
],
"index_4": [
0.253106,
0.2763789,
0.3186615,
0.3680239,
0.401552
],
"values": [
1.33708,
0.969325,
0.724156,
0.969325,
1.33708
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.16583
],
"index_2": [
1.22658
],
"index_3": [
0.001
],
"index_4": [
0.6017911,
0.6281886,
0.6654322,
0.7107785,
0.7403981
],
"values": [
0.24384,
0.390143,
0.487679,
0.390143,
0.24384
]
},
{
"index_1": [
1.28063
],
"index_2": [
0.717196
],
"index_3": [
0.001
],
"index_4": [
0.3528871,
0.3768456,
0.4202534,
0.4540752,
0.4776093
],
"values": [
0.381692,
0.610708,
0.763385,
0.610708,
0.381692
]
},
{
"index_1": [
1.3844
],
"index_2": [
0.487329
],
"index_3": [
0.001
],
"index_4": [
0.241113,
0.2623927,
0.299938,
0.3295182,
0.3498637
],
"values": [
0.454265,
0.726824,
0.90853,
0.726824,
0.454265
]
},
{
"index_1": [
1.16583
],
"index_2": [
0.613288
],
"index_3": [
0.001
],
"index_4": [
0.3038916,
0.3182227,
0.3406855,
0.364188,
0.3808825
],
"values": [
0.148427,
0.237482,
0.296853,
0.237482,
0.148427
]
},
{
"index_1": [
1.28063
],
"index_2": [
0.358598
],
"index_3": [
0.001
],
"index_4": [
0.1801051,
0.1931402,
0.2146119,
0.2334494,
0.246934
],
"values": [
0.220224,
0.352359,
0.440449,
0.352359,
0.220224
]
},
{
"index_1": [
1.3844
],
"index_2": [
0.243664
],
"index_3": [
0.001
],
"index_4": [
0.1242048,
0.1353566,
0.1529164,
0.1702658,
0.1816034
],
"values": [
0.252594,
0.404151,
0.505188,
0.404151,
0.252594
]
},
{
"index_1": [
1.28063
],
"index_2": [
1.07579
],
"index_3": [
0.001
],
"index_4": [
0.5245374,
0.5591229,
0.6124691,
0.6668919,
0.7009473
],
"values": [
0.512033,
0.819253,
1.02407,
0.819253,
0.512033
]
}
]
},
"stage_type": "both"
},
"clock": "false",
"direction": "input",
"fall_capacitance": 0.001771,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
0.0209101,
0.0208011,
0.0205499,
0.0210122,
0.0220778,
0.0245342,
0.0301964
]
},
"rise_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
0.0102831,
0.0101443,
0.0098242,
0.0102182,
0.0111262,
0.0132192,
0.0180436
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.001958,
"timing": [
{
"fall_constraint vio_3_3_1": {
"index_1": [
0.01,
0.5,
1.5
],
"index_2": [
0.01,
0.5,
1.5
],
"values": [
[
0.0728008,
0.196694,
0.3472474
],
[
0.086847,
0.2046367,
0.351528
],
[
0.1816549,
0.2933411,
0.437791
]
]
},
"related_pin": "GATE_N",
"rise_constraint vio_3_3_1": {
"index_1": [
0.01,
0.5,
1.5
],
"index_2": [
0.01,
0.5,
1.5
],
"values": [
[
0.1082012,
0.1271139,
0.0701478
],
[
0.0612122,
0.080125,
0.0231589
],
[
0.0962057,
0.1163392,
0.0581523
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "setup_rising",
"violation_delay_degrade_pct": 10
},
{
"fall_constraint vio_3_3_1": {
"index_1": [
0.01,
0.5,
1.5
],
"index_2": [
0.01,
0.5,
1.5
],
"values": [
[
-0.0651387,
-0.1890319,
-0.3420267
],
[
-0.07064,
-0.1933125,
-0.3426452
],
[
-0.1459167,
-0.2673685,
-0.4179219
]
]
},
"related_pin": "GATE_N",
"rise_constraint vio_3_3_1": {
"index_1": [
0.01,
0.5,
1.5
],
"index_2": [
0.01,
0.5,
1.5
],
"values": [
[
-0.1005391,
-0.1194518,
-0.0624857
],
[
-0.0547708,
-0.0736836,
-0.0154967
],
[
-0.0897643,
-0.1098978,
-0.0504902
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "hold_rising",
"violation_delay_degrade_pct": 10
}
]
},
"pin GATE_N": {
"capacitance": 0.001844,
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
3.29472,
0.47105,
0.346531,
0.332391,
0.315531,
0.306029,
0.295813,
0.284886,
0.273256,
0.260928,
0.247912,
0.234217,
0.219852,
0.20483,
0.189161,
0.172859,
0.155938,
0.13841,
0.12029,
0.101594,
0.0823364,
0.0625323,
0.0421977,
0.0213483,
-4.85653e-09,
-0.0418425,
-0.0815821,
-0.237885,
-2.68505
],
[
3.20656,
0.394588,
0.28272,
0.275359,
0.267216,
0.262731,
0.25787,
0.252518,
0.246513,
0.239645,
0.231701,
0.222569,
0.212236,
0.200714,
0.188012,
0.174143,
0.159119,
0.142957,
0.125678,
0.107304,
0.0878611,
0.0673784,
0.0458855,
0.0234148,
-1.74769e-08,
-0.0463653,
-0.0905247,
-0.255169,
-2.68865
],
[
3.1292,
0.323755,
0.21262,
0.207548,
0.202168,
0.199327,
0.196358,
0.193233,
0.189911,
0.186338,
0.182434,
0.178077,
0.173078,
0.167149,
0.159933,
0.151177,
0.140804,
0.128802,
0.115168,
0.0999083,
0.0830378,
0.0645786,
0.0445603,
0.0230198,
-4.88298e-08,
-0.0466937,
-0.0920584,
-0.259803,
-2.68955
],
[
3.10263,
0.310143,
0.184377,
0.179946,
0.175295,
0.172863,
0.170343,
0.167716,
0.164959,
0.162037,
0.158907,
0.155499,
0.151711,
0.147378,
0.142232,
0.13588,
0.12795,
0.118268,
0.106784,
0.0934831,
0.0783608,
0.0614267,
0.0427003,
0.0222117,
-2.32084e-09,
-0.0457721,
-0.0908985,
-0.259246,
-2.68952
],
[
3.07443,
0.303129,
0.154251,
0.150418,
0.146437,
0.144373,
0.142251,
0.140058,
0.137779,
0.135396,
0.132882,
0.1302,
0.127295,
0.124084,
0.120432,
0.116103,
0.110711,
0.103775,
0.0949812,
0.0842132,
0.0714278,
0.0566075,
0.0397516,
0.0208734,
-2.19522e-09,
-0.0440219,
-0.0883881,
-0.256986,
-2.68922
],
[
3.05985,
0.300289,
0.138744,
0.135129,
0.131465,
0.129575,
0.127636,
0.125641,
0.123579,
0.121433,
0.119186,
0.116809,
0.114263,
0.11149,
0.108395,
0.104822,
0.100486,
0.0949255,
0.0876384,
0.078354,
0.066974,
0.0534572,
0.0377864,
0.019962,
-2.19409e-09,
-0.0427609,
-0.086501,
-0.255062,
-2.68894
],
[
3.0451,
0.29801,
0.123659,
0.119637,
0.116281,
0.114556,
0.112794,
0.110987,
0.109127,
0.107203,
0.105201,
0.1031,
0.100873,
0.0984783,
0.0958525,
0.092891,
0.0894081,
0.0850582,
0.0792927,
0.0716142,
0.0617968,
0.0497557,
0.035451,
0.0188653,
-3.3325e-09,
-0.0411993,
-0.0841189,
-0.252517,
-2.68856
],
[
3.0303,
0.296349,
0.111198,
0.104089,
0.101024,
0.0994598,
0.0978667,
0.0962395,
0.0945721,
0.0928563,
0.0910814,
0.0892332,
0.087292,
0.0852294,
0.0830029,
0.0805446,
0.0777376,
0.0743613,
0.0699853,
0.0639735,
0.055862,
0.0454684,
0.0327168,
0.0175667,
-3.0603e-08,
-0.039304,
-0.081184,
-0.24928,
-2.68806
],
[
3.01563,
0.295468,
0.104065,
0.0887624,
0.0858668,
0.0844583,
0.0830286,
0.081574,
0.0800898,
0.0785704,
0.0770081,
0.075393,
0.0737114,
0.0719442,
0.0700636,
0.0680261,
0.0657601,
0.0631352,
0.0598846,
0.0554606,
0.049156,
0.0405717,
0.029561,
0.0160512,
-6.85039e-07,
-0.0370438,
-0.0776393,
-0.245283,
-2.68743
],
[
3.00126,
0.29553,
0.101853,
0.07463,
0.071014,
0.0697566,
0.0684852,
0.0671968,
0.0658881,
0.064555,
0.0631925,
0.0617938,
0.0603497,
0.058848,
0.0572706,
0.0555907,
0.0537657,
0.0517214,
0.0493123,
0.0462044,
0.041693,
0.0350498,
0.0259591,
0.0142955,
-1.5505e-05,
-0.0344053,
-0.0734474,
-0.240481,
-2.68669
],
[
2.98743,
0.296711,
0.102694,
0.0643436,
0.0567082,
0.055576,
0.0544491,
0.0533118,
0.0521618,
0.0509966,
0.0498127,
0.0486058,
0.0473703,
0.0460984,
0.0447792,
0.0433968,
0.0419267,
0.0403287,
0.0385282,
0.036362,
0.0333895,
0.0287247,
0.0216978,
0.0120671,
-0.00028526,
-0.0316328,
-0.0688541,
-0.235197,
-2.68639
],
[
2.97438,
0.299217,
0.105553,
0.0598456,
0.0432327,
0.0419521,
0.0408582,
0.0397628,
0.0386608,
0.0375503,
0.0364292,
0.035295,
0.0341445,
0.0329733,
0.0317753,
0.0305419,
0.0292599,
0.0279087,
0.0264527,
0.0248202,
0.0228241,
0.0198529,
0.0148735,
0.00734029,
-0.00294195,
-0.0310494,
-0.0663678,
-0.232592,
-2.6911
],
[
2.9624,
0.303282,
0.110076,
0.0594239,
0.0309139,
0.0278982,
0.0263985,
0.0249855,
0.0235865,
0.0221907,
0.020794,
0.0193942,
0.0179892,
0.0165766,
0.0151533,
0.0137152,
0.0122561,
0.0107664,
0.00923063,
0.0076202,
0.00587042,
0.00375561,
0.000421651,
-0.00520432,
-0.0135291,
-0.0386048,
-0.0723272,
-0.240296,
-2.71107
],
[
2.95181,
0.309173,
0.116226,
0.061105,
0.020125,
0.0117743,
0.0086587,
0.00649984,
0.00450581,
0.00257085,
0.00066588,
-0.00122079,
-0.0030951,
-0.00496074,
-0.00682051,
-0.00867704,
-0.0105333,
-0.0123934,
-0.0142633,
-0.0161531,
-0.0180826,
-0.0201057,
-0.0225122,
-0.0264336,
-0.032836,
-0.0548302,
-0.086971,
-0.257765,
-2.74406
],
[
2.94296,
0.317196,
0.124128,
0.064093,
0.0112971,
-0.00425255,
-0.0112911,
-0.0147107,
-0.0173962,
-0.019856,
-0.0222111,
-0.0245053,
-0.0267588,
-0.0289824,
-0.0311824,
-0.0333631,
-0.0355276,
-0.0376785,
-0.0398187,
-0.0419516,
-0.044083,
-0.046224,
-0.0484161,
-0.0510564,
-0.0554835,
-0.0738353,
-0.103841,
-0.276971,
-2.77824
],
[
2.93623,
0.32769,
0.134018,
0.0684304,
0.00493013,
-0.0175519,
-0.030144,
-0.0357175,
-0.039243,
-0.042199,
-0.0449162,
-0.0475043,
-0.0500111,
-0.052461,
-0.0548682,
-0.0572414,
-0.0595866,
-0.0619081,
-0.0642091,
-0.0664928,
-0.0687622,
-0.0710212,
-0.0732765,
-0.0755668,
-0.0785107,
-0.0926993,
-0.119925,
-0.294704,
-2.80917
],
[
2.93202,
0.341088,
0.146127,
0.0744736,
0.00142622,
-0.027254,
-0.0457956,
-0.0546755,
-0.0593621,
-0.0628875,
-0.0659694,
-0.0688287,
-0.0715565,
-0.0741982,
-0.0767791,
-0.079315,
-0.0818162,
-0.0842899,
-0.0867415,
-0.0891752,
-0.0915949,
-0.0940042,
-0.0964073,
-0.0988107,
-0.10134,
-0.111383,
-0.135216,
-0.311134,
-2.83719
],
[
2.9307,
0.357417,
0.160014,
0.0819168,
0.00027394,
-0.0339319,
-0.0582185,
-0.0714795,
-0.077879,
-0.0821838,
-0.0857447,
-0.0889549,
-0.0919675,
-0.0948559,
-0.0976597,
-0.100403,
-0.103101,
-0.105765,
-0.108401,
-0.111016,
-0.113614,
-0.1162,
-0.118778,
-0.121353,
-0.123953,
-0.131013,
-0.150523,
-0.326905,
-2.86326
],
[
2.93192,
0.375551,
0.174108,
0.0894461,
4.92533e-05,
-0.0390734,
-0.0686644,
-0.086952,
-0.0957428,
-0.101069,
-0.105219,
-0.10884,
-0.112176,
-0.115335,
-0.118376,
-0.121334,
-0.124231,
-0.127081,
-0.129894,
-0.132679,
-0.135441,
-0.138186,
-0.140919,
-0.143647,
-0.146378,
-0.152279,
-0.166687,
-0.342496,
-2.88803
],
[
2.9343,
0.394158,
0.187269,
0.0963056,
9.47906e-06,
-0.0434435,
-0.0778107,
-0.10127,
-0.113185,
-0.119805,
-0.124639,
-0.128711,
-0.132384,
-0.135815,
-0.139089,
-0.142253,
-0.145335,
-0.148356,
-0.151329,
-0.154265,
-0.157171,
-0.160054,
-0.162921,
-0.165778,
-0.168632,
-0.174436,
-0.184381,
-0.358209,
-2.91193
],
[
2.93695,
0.412757,
0.199165,
0.102401,
1.84322e-06,
-0.0472513,
-0.0858792,
-0.114298,
-0.130042,
-0.138307,
-0.143948,
-0.148519,
-0.152548,
-0.156258,
-0.159762,
-0.163125,
-0.166383,
-0.169565,
-0.172685,
-0.175758,
-0.178794,
-0.1818,
-0.184784,
-0.187754,
-0.190717,
-0.196672,
-0.204013,
-0.374241,
-2.93519
],
[
2.93962,
0.431222,
0.209744,
0.107786,
3.31198e-07,
-0.0505849,
-0.0929998,
-0.12597,
-0.146086,
-0.156446,
-0.163056,
-0.168191,
-0.172604,
-0.176605,
-0.180344,
-0.183904,
-0.187335,
-0.19067,
-0.193931,
-0.197133,
-0.200288,
-0.203407,
-0.206498,
-0.209569,
-0.21263,
-0.218759,
-0.225252,
-0.390719,
-2.95797
],
[
2.94229,
0.449488,
0.219066,
0.112534,
4.5922e-08,
-0.0535054,
-0.0992796,
-0.13633,
-0.161084,
-0.174084,
-0.181879,
-0.187662,
-0.192499,
-0.196809,
-0.200792,
-0.204553,
-0.208157,
-0.211643,
-0.21504,
-0.218366,
-0.221636,
-0.224861,
-0.228051,
-0.231217,
-0.234367,
-0.240662,
-0.247085,
-0.407724,
-2.9804
],
[
2.94494,
0.467504,
0.22724,
0.116712,
7.32739e-09,
-0.0560633,
-0.104814,
-0.145483,
-0.174841,
-0.191077,
-0.200334,
-0.206877,
-0.212189,
-0.216835,
-0.221074,
-0.225044,
-0.228823,
-0.232462,
-0.235993,
-0.239441,
-0.242821,
-0.246149,
-0.249434,
-0.252689,
-0.255923,
-0.262374,
-0.268898,
-0.425307,
-3.00255
],
[
2.94758,
0.485215,
0.234389,
0.120384,
5.58806e-09,
-0.0583019,
-0.109686,
-0.153552,
-0.187237,
-0.207262,
-0.218339,
-0.225785,
-0.231636,
-0.23665,
-0.241165,
-0.245354,
-0.249314,
-0.253108,
-0.256775,
-0.260344,
-0.263834,
-0.267262,
-0.27064,
-0.273981,
-0.277295,
-0.283893,
-0.290543,
-0.443487,
-3.02448
],
[
2.95279,
0.51949,
0.246084,
0.126418,
9.19421e-09,
-0.0619665,
-0.117732,
-0.166917,
-0.207941,
-0.236509,
-0.252609,
-0.262466,
-0.269662,
-0.275548,
-0.280689,
-0.285359,
-0.28971,
-0.293831,
-0.29778,
-0.301596,
-0.305308,
-0.308936,
-0.312498,
-0.316007,
-0.319477,
-0.326354,
-0.333249,
-0.481606,
-3.06784
],
[
2.95792,
0.551769,
0.254957,
0.131004,
1.53027e-08,
-0.0647454,
-0.123915,
-0.177249,
-0.22386,
-0.260612,
-0.283758,
-0.297224,
-0.306292,
-0.313307,
-0.319215,
-0.324451,
-0.329245,
-0.333728,
-0.337982,
-0.342063,
-0.346006,
-0.349841,
-0.353589,
-0.357267,
-0.360892,
-0.368042,
-0.375168,
-0.521718,
-3.11071
],
[
2.9724,
0.628325,
0.269967,
0.138673,
5.40965e-08,
-0.0694396,
-0.134724,
-0.195737,
-0.252272,
-0.303768,
-0.348414,
-0.382132,
-0.403583,
-0.417336,
-0.427254,
-0.435154,
-0.441871,
-0.44783,
-0.453268,
-0.458329,
-0.463108,
-0.467667,
-0.472053,
-0.476299,
-0.480431,
-0.488448,
-0.496275,
-0.643554,
-3.23539
],
[
2.98734,
0.662915,
0.273732,
0.140269,
2.14895e-07,
-0.0707456,
-0.138737,
-0.203921,
-0.266243,
-0.325633,
-0.381973,
-0.435002,
-0.48403,
-0.527216,
-0.561313,
-0.585192,
-0.601745,
-0.614055,
-0.623907,
-0.632235,
-0.639551,
-0.646157,
-0.652241,
-0.657928,
-0.663304,
-0.673357,
-0.68275,
-0.832511,
-3.42437
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000660417,
"miller_cap_rise": 0.000509064,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0156447,
0.023376,
0.0319039,
0.0418434,
0.0546427
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.0655696,
0.0802451,
0.092194,
0.102984,
0.1158151
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0229156,
0.03854,
0.0562272,
0.0765272,
0.1090262
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.0833859,
0.1034226,
0.1212682,
0.1414593,
0.1739756
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.974895
],
"index_2": [
0.899305
],
"index_3": [
0.001
],
"index_4": [
0.4398595,
0.4690857,
0.5231907,
0.5798908,
0.6219432
],
"values": [
1.5945,
1.3812,
1.239,
1.3812,
1.5945
]
},
{
"index_1": [
1.09248
],
"index_2": [
0.48199
],
"index_3": [
0.001
],
"index_4": [
0.2371797,
0.2593104,
0.3020634,
0.3486652,
0.3829568
],
"values": [
1.51224,
1.24959,
1.07449,
1.24959,
1.51224
]
},
{
"index_1": [
1.2113
],
"index_2": [
0.308497
],
"index_3": [
0.001
],
"index_4": [
0.1532087,
0.1712207,
0.2012034,
0.2450262,
0.2730897
],
"values": [
1.47224,
1.18559,
0.994482,
1.18559,
1.47224
]
},
{
"index_1": [
0.974895
],
"index_2": [
0.449653
],
"index_3": [
0.001
],
"index_4": [
0.2240643,
0.2396844,
0.2613087,
0.3018318,
0.3302368
],
"values": [
1.74562,
1.62299,
1.54124,
1.62299,
1.74562
]
},
{
"index_1": [
1.09248
],
"index_2": [
0.240995
],
"index_3": [
0.001
],
"index_4": [
0.122524,
0.1342413,
0.1541308,
0.1817416,
0.2061245
],
"values": [
1.70902,
1.56443,
1.46804,
1.56443,
1.70902
]
},
{
"index_1": [
1.2113
],
"index_2": [
0.154249
],
"index_3": [
0.001
],
"index_4": [
0.0802838,
0.0896919,
0.1095003,
0.1289078,
0.1505618
],
"values": [
1.69438,
1.541,
1.43875,
1.541,
1.69438
]
},
{
"index_1": [
1.09248
],
"index_2": [
0.722984
],
"index_3": [
0.001
],
"index_4": [
0.3496007,
0.3825777,
0.4414587,
0.5104002,
0.5577214
],
"values": [
1.36881,
1.02009,
0.787618,
1.02009,
1.36881
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.1553
],
"index_2": [
1.71433
],
"index_3": [
0.001
],
"index_4": [
0.8380914,
0.8756952,
0.9450762,
0.9939797,
1.0318266
],
"values": [
0.226104,
0.361766,
0.452208,
0.361766,
0.226104
]
},
{
"index_1": [
1.27255
],
"index_2": [
0.99813
],
"index_3": [
0.001
],
"index_4": [
0.4886635,
0.5226548,
0.5698882,
0.631863,
0.6645451
],
"values": [
0.362835,
0.580537,
0.725671,
0.580537,
0.362835
]
},
{
"index_1": [
1.37772
],
"index_2": [
0.678624
],
"index_3": [
0.001
],
"index_4": [
0.3332686,
0.3644487,
0.4168173,
0.4595859,
0.4881348
],
"values": [
0.431772,
0.690836,
0.863545,
0.690836,
0.431772
]
},
{
"index_1": [
1.1553
],
"index_2": [
0.857166
],
"index_3": [
0.001
],
"index_4": [
0.420879,
0.4422129,
0.4712917,
0.5080997,
0.5313522
],
"values": [
0.141137,
0.22582,
0.282275,
0.22582,
0.141137
]
},
{
"index_1": [
1.27255
],
"index_2": [
0.499065
],
"index_3": [
0.001
],
"index_4": [
0.2484894,
0.2665962,
0.2963866,
0.3244162,
0.3433956
],
"values": [
0.209127,
0.334603,
0.418254,
0.334603,
0.209127
]
},
{
"index_1": [
1.37772
],
"index_2": [
0.339312
],
"index_3": [
0.001
],
"index_4": [
0.1709331,
0.18673,
0.2081007,
0.2367652,
0.2525476
],
"values": [
0.2397,
0.38352,
0.4794,
0.38352,
0.2397
]
},
{
"index_1": [
1.27255
],
"index_2": [
1.4972
],
"index_3": [
0.001
],
"index_4": [
0.7276429,
0.7769893,
0.8532137,
0.9305902,
0.9786997
],
"values": [
0.484966,
0.775945,
0.969932,
0.775945,
0.484966
]
}
]
},
"stage_type": "both"
},
"clock": "true",
"direction": "input",
"fall_capacitance": 0.001758,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
0.0200206,
0.0199038,
0.0196344,
0.0200613,
0.0210455,
0.0233139,
0.028543
]
},
"rise_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
0.011881,
0.0117226,
0.0113573,
0.0117557,
0.012674,
0.0147906,
0.0196697
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.00193,
"timing": {
"fall_constraint constraint_3_0_1": {
"index_1": [
0.01,
0.5,
1.5
],
"values": [
0.1511099,
0.8333333,
2.5
]
},
"related_pin": "GATE_N",
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "min_pulse_width",
"violation_delay_degrade_pct": 10
}
},
"pin Q": {
"ccsn_last_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
5.00188,
0.789187,
0.604792,
0.58155,
0.553432,
0.537419,
0.520119,
0.501546,
0.481704,
0.4606,
0.438242,
0.414635,
0.389791,
0.363718,
0.33643,
0.307938,
0.278256,
0.247401,
0.215387,
0.182231,
0.147953,
0.112571,
0.0761045,
0.0385738,
-8.7428e-09,
-0.0757814,
-0.14764,
-0.383232,
-2.89092
],
[
4.81977,
0.626906,
0.463883,
0.452146,
0.439177,
0.432044,
0.424326,
0.415846,
0.406353,
0.395512,
0.38295,
0.368425,
0.351884,
0.333339,
0.312798,
0.290266,
0.265747,
0.239251,
0.21079,
0.180383,
0.148049,
0.113813,
0.0777047,
0.0397553,
-2.07504e-09,
-0.0791019,
-0.154579,
-0.401283,
-2.89528
],
[
4.68584,
0.497071,
0.334757,
0.326665,
0.318099,
0.313584,
0.308873,
0.303922,
0.298674,
0.293045,
0.286917,
0.280114,
0.272359,
0.263233,
0.252184,
0.238767,
0.222793,
0.204206,
0.182977,
0.159092,
0.132546,
0.103345,
0.0715043,
0.0370453,
-1.98244e-09,
-0.075602,
-0.1494,
-0.394603,
-2.89432
],
[
4.64469,
0.475412,
0.285991,
0.278906,
0.271488,
0.267618,
0.263614,
0.259451,
0.25509,
0.250485,
0.245569,
0.240242,
0.234359,
0.227684,
0.219838,
0.210249,
0.198307,
0.183661,
0.166179,
0.145805,
0.122509,
0.0962766,
0.067106,
0.0350069,
-1.83139e-09,
-0.0725939,
-0.144567,
-0.387361,
-2.8932
],
[
4.60142,
0.468559,
0.235475,
0.229324,
0.222961,
0.219673,
0.216297,
0.212817,
0.209213,
0.205454,
0.201505,
0.197312,
0.192799,
0.187848,
0.182273,
0.175755,
0.167754,
0.15753,
0.144507,
0.12843,
0.1092,
0.0867632,
0.061091,
0.0321695,
-1.83469e-09,
-0.0682775,
-0.137533,
-0.376641,
-2.89152
],
[
4.57932,
0.466353,
0.21007,
0.204194,
0.198333,
0.195318,
0.192233,
0.189067,
0.185804,
0.182421,
0.178891,
0.175176,
0.171221,
0.166945,
0.162221,
0.156837,
0.150414,
0.142301,
0.131686,
0.118044,
0.101166,
0.0809644,
0.0573867,
0.0304029,
-2.2016e-09,
-0.065545,
-0.133051,
-0.369774,
-2.89043
],
[
4.55718,
0.464507,
0.186165,
0.179081,
0.173706,
0.170955,
0.16815,
0.165283,
0.162341,
0.159309,
0.156166,
0.152885,
0.149427,
0.145737,
0.141729,
0.137265,
0.132104,
0.125791,
0.117529,
0.106464,
0.0921476,
0.0744115,
0.0531726,
0.0283792,
-7.86859e-09,
-0.0623842,
-0.127848,
-0.361798,
-2.88915
],
[
4.53523,
0.463688,
0.1683,
0.154231,
0.149308,
0.146813,
0.144278,
0.141697,
0.139061,
0.136359,
0.133577,
0.130693,
0.127683,
0.124508,
0.121113,
0.117409,
0.113247,
0.108351,
0.102154,
0.0936884,
0.0821126,
0.06707,
0.0484198,
0.0260811,
-1.36122e-07,
-0.0587624,
-0.121867,
-0.352642,
-2.88766
],
[
4.51372,
0.464092,
0.16066,
0.130231,
0.125405,
0.12316,
0.120888,
0.118584,
0.116241,
0.113852,
0.111407,
0.108893,
0.10629,
0.103576,
0.100712,
0.097646,
0.0942872,
0.0904773,
0.0858909,
0.0797975,
0.071058,
0.058918,
0.0431044,
0.0234917,
-3.05802e-06,
-0.0546526,
-0.115059,
-0.342253,
-2.88595
],
[
4.49296,
0.466001,
0.161012,
0.109379,
0.102311,
0.100308,
0.0982907,
0.0962535,
0.0941919,
0.0921008,
0.0899734,
0.0878011,
0.0855721,
0.0832707,
0.0808746,
0.0783507,
0.0756475,
0.0726775,
0.0692723,
0.0650368,
0.0590002,
0.0499125,
0.0371666,
0.0205478,
-6.51089e-05,
-0.0500926,
-0.107447,
-0.330689,
-2.88415
],
[
4.47326,
0.46971,
0.165693,
0.0969028,
0.0803884,
0.0785373,
0.0767314,
0.0749162,
0.0730878,
0.071243,
0.0693775,
0.0674861,
0.0655619,
0.0635953,
0.0615732,
0.059477,
0.057278,
0.0549304,
0.0523518,
0.0493649,
0.0454613,
0.039359,
0.0298271,
0.016414,
-0.00106372,
-0.0460336,
-0.100058,
-0.319315,
-2.88429
],
[
4.455,
0.475539,
0.173106,
0.0944446,
0.0600558,
0.0574914,
0.0555643,
0.0536538,
0.0517411,
0.0498222,
0.0478942,
0.0459542,
0.0439986,
0.0420223,
0.0400186,
0.0379778,
0.0358857,
0.03372,
0.0314431,
0.0289821,
0.0261502,
0.0222525,
0.015687,
0.00538534,
-0.00898429,
-0.0489993,
-0.0999524,
-0.317009,
-2.8987
],
[
4.4386,
0.483841,
0.182847,
0.0978571,
0.0417894,
0.0344157,
0.0312981,
0.0285246,
0.0258235,
0.0231518,
0.0204959,
0.0178495,
0.0152085,
0.0125697,
0.00992933,
0.00728283,
0.00462381,
0.0019427,
-0.00077584,
-0.00355907,
-0.00646589,
-0.00969639,
-0.0142271,
-0.0218791,
-0.03347,
-0.0691715,
-0.117833,
-0.336327,
-2.94319
],
[
4.42451,
0.495016,
0.194983,
0.10412,
0.0261337,
0.00735665,
0.00058129,
-0.00371263,
-0.00753765,
-0.0111883,
-0.0147477,
-0.0182493,
-0.0217096,
-0.025138,
-0.0285404,
-0.0319215,
-0.0352855,
-0.0386367,
-0.0419814,
-0.0453286,
-0.0486954,
-0.0521235,
-0.0558324,
-0.0611635,
-0.0699362,
-0.101029,
-0.147217,
-0.368239,
-3.00281
],
[
4.41324,
0.509496,
0.209809,
0.112159,
0.0137298,
-0.0184669,
-0.0325678,
-0.0391171,
-0.0441097,
-0.0485953,
-0.052839,
-0.0569405,
-0.0609462,
-0.0648814,
-0.0687609,
-0.0725942,
-0.0763879,
-0.0801472,
-0.0838764,
-0.0875801,
-0.0912641,
-0.0949379,
-0.0986279,
-0.102601,
-0.108579,
-0.134082,
-0.176852,
-0.399426,
-3.05855
],
[
4.40535,
0.527896,
0.227783,
0.121996,
0.00532837,
-0.0390798,
-0.0629103,
-0.0728847,
-0.0792159,
-0.0844703,
-0.0892513,
-0.0937706,
-0.0981239,
-0.102361,
-0.106513,
-0.110597,
-0.114627,
-0.118611,
-0.122557,
-0.126472,
-0.13036,
-0.134228,
-0.138084,
-0.141951,
-0.146337,
-0.165618,
-0.204101,
-0.42741,
-3.10717
],
[
4.40151,
0.550786,
0.24922,
0.133967,
0.00130451,
-0.0536584,
-0.0879351,
-0.102938,
-0.111042,
-0.117202,
-0.122572,
-0.12753,
-0.13224,
-0.136788,
-0.141221,
-0.145569,
-0.149853,
-0.154086,
-0.158278,
-0.16244,
-0.166576,
-0.170695,
-0.174803,
-0.17891,
-0.183109,
-0.196754,
-0.229989,
-0.453467,
-3.15078
],
[
4.40212,
0.57788,
0.272929,
0.147034,
0.000230257,
-0.0638856,
-0.108301,
-0.130084,
-0.140671,
-0.148037,
-0.154174,
-0.159701,
-0.164874,
-0.169818,
-0.174606,
-0.17928,
-0.183869,
-0.188392,
-0.192864,
-0.197295,
-0.201695,
-0.206071,
-0.210434,
-0.21479,
-0.219165,
-0.229508,
-0.256004,
-0.478799,
-3.19131
],
[
4.4063,
0.607046,
0.296403,
0.159272,
4.10754e-05,
-0.0719811,
-0.125639,
-0.155531,
-0.169426,
-0.178282,
-0.185314,
-0.191476,
-0.197146,
-0.202505,
-0.207654,
-0.21265,
-0.217534,
-0.222331,
-0.22706,
-0.231736,
-0.23637,
-0.240972,
-0.245553,
-0.250122,
-0.254693,
-0.264174,
-0.283364,
-0.504125,
-3.2299
],
[
4.41181,
0.63659,
0.318303,
0.170048,
7.62283e-06,
-0.0788088,
-0.140628,
-0.179297,
-0.197479,
-0.208146,
-0.21619,
-0.223037,
-0.229223,
-0.235,
-0.240502,
-0.245809,
-0.250971,
-0.256022,
-0.260986,
-0.265882,
-0.270724,
-0.275525,
-0.280295,
-0.285047,
-0.289794,
-0.299379,
-0.313028,
-0.529837,
-3.26718
],
[
4.41757,
0.66603,
0.338182,
0.179427,
1.34388e-06,
-0.0846572,
-0.153603,
-0.201056,
-0.22464,
-0.237534,
-0.246743,
-0.254337,
-0.261067,
-0.267272,
-0.273128,
-0.27874,
-0.28417,
-0.289463,
-0.294649,
-0.29975,
-0.304783,
-0.309764,
-0.314705,
-0.319621,
-0.324525,
-0.334369,
-0.345392,
-0.556149,
-3.30355
],
[
4.42334,
0.695233,
0.355865,
0.187578,
1.83648e-07,
-0.0896909,
-0.164839,
-0.220557,
-0.250653,
-0.266317,
-0.276887,
-0.285313,
-0.292628,
-0.29928,
-0.305499,
-0.311416,
-0.317113,
-0.322642,
-0.328042,
-0.333338,
-0.338552,
-0.343702,
-0.348802,
-0.353868,
-0.358916,
-0.369024,
-0.379474,
-0.583177,
-3.33924
],
[
4.42909,
0.724122,
0.371347,
0.194664,
1.67477e-08,
-0.094034,
-0.174581,
-0.237736,
-0.275234,
-0.294357,
-0.306543,
-0.31591,
-0.323862,
-0.330989,
-0.337585,
-0.343816,
-0.349781,
-0.355546,
-0.361156,
-0.366644,
-0.372034,
-0.377346,
-0.382597,
-0.387806,
-0.392988,
-0.403346,
-0.413872,
-0.610982,
-3.37443
],
[
4.43481,
0.752633,
0.384756,
0.200826,
4.39649e-09,
-0.0977869,
-0.183037,
-0.25271,
-0.298086,
-0.321501,
-0.335632,
-0.346076,
-0.354732,
-0.36237,
-0.369365,
-0.375921,
-0.382161,
-0.388165,
-0.393987,
-0.399665,
-0.405228,
-0.410699,
-0.416098,
-0.421444,
-0.426754,
-0.43735,
-0.448064,
-0.639593,
-3.40922
],
[
4.44049,
0.780697,
0.396307,
0.206182,
4.73824e-09,
-0.101033,
-0.190384,
-0.265699,
-0.318939,
-0.347577,
-0.364068,
-0.375763,
-0.385206,
-0.393401,
-0.40082,
-0.407718,
-0.414243,
-0.420492,
-0.426529,
-0.432398,
-0.438134,
-0.443763,
-0.449308,
-0.454788,
-0.460225,
-0.471049,
-0.481964,
-0.669016,
-3.4437
],
[
4.45175,
0.835198,
0.414771,
0.214876,
7.68133e-09,
-0.10627,
-0.202325,
-0.286714,
-0.354025,
-0.395686,
-0.418591,
-0.433489,
-0.444836,
-0.45432,
-0.462693,
-0.470339,
-0.477477,
-0.484244,
-0.49073,
-0.496995,
-0.503085,
-0.509034,
-0.514871,
-0.52062,
-0.526303,
-0.537571,
-0.548877,
-0.730246,
-3.51199
],
[
4.46284,
0.886959,
0.428424,
0.221396,
1.25088e-08,
-0.110179,
-0.211344,
-0.302543,
-0.380608,
-0.436842,
-0.46913,
-0.488599,
-0.502471,
-0.513567,
-0.52308,
-0.531591,
-0.539421,
-0.54676,
-0.553732,
-0.56042,
-0.566884,
-0.573168,
-0.579306,
-0.585329,
-0.591264,
-0.602973,
-0.614656,
-0.794179,
-3.57963
],
[
4.49467,
1.01606,
0.45105,
0.232163,
4.31655e-08,
-0.116663,
-0.226834,
-0.33013,
-0.425841,
-0.511951,
-0.582438,
-0.629939,
-0.659105,
-0.67882,
-0.693794,
-0.706119,
-0.716806,
-0.726399,
-0.735215,
-0.743457,
-0.751258,
-0.758712,
-0.765889,
-0.77284,
-0.77961,
-0.792753,
-0.805604,
-0.986955,
-3.77739
],
[
4.53504,
1.09312,
0.457462,
0.2345,
1.70245e-07,
-0.118432,
-0.232642,
-0.342475,
-0.447757,
-0.548251,
-0.643521,
-0.732514,
-0.812333,
-0.876944,
-0.922537,
-0.953602,
-0.97621,
-0.993984,
-1.0088,
-1.02168,
-1.03321,
-1.04376,
-1.05355,
-1.06277,
-1.07152,
-1.08795,
-1.10338,
-1.28908,
-4.08143
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000676723,
"miller_cap_rise": 0.000547287,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00708038
],
"index_3": [
0.0150459,
0.0225523,
0.0305481,
0.0390133,
0.0504918
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0212411
],
"index_3": [
0.0212376,
0.0413737,
0.0627363,
0.0855956,
0.1159615
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00708038
],
"index_3": [
0.0630456,
0.0780013,
0.0892747,
0.0992472,
0.110755
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0212411
],
"index_3": [
0.0757586,
0.101445,
0.1227788,
0.1460848,
0.1772614
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00343017
],
"index_3": [
0.0190324,
0.0278253,
0.0374301,
0.0487709,
0.0685376
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0102905
],
"index_3": [
0.0258624,
0.0474761,
0.0712401,
0.0991742,
0.1451441
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00343017
],
"index_3": [
0.0777035,
0.0929392,
0.1039244,
0.1152041,
0.1348792
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0102905
],
"index_3": [
0.0889216,
0.1131307,
0.1365966,
0.1645837,
0.2106704
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.933283
],
"index_2": [
0.835012
],
"index_3": [
0.00708038
],
"index_4": [
0.4092793,
0.4377235,
0.4885672,
0.5453261,
0.5880807
],
"values": [
1.59456,
1.3813,
1.23913,
1.3813,
1.59456
]
},
{
"index_1": [
1.05115
],
"index_2": [
0.447462
],
"index_3": [
0.00708038
],
"index_4": [
0.2209484,
0.2429817,
0.2756819,
0.3287954,
0.3633773
],
"values": [
1.50816,
1.24306,
1.06633,
1.24306,
1.50816
]
},
{
"index_1": [
1.17265
],
"index_2": [
0.283331
],
"index_3": [
0.00708038
],
"index_4": [
0.1418006,
0.1594433,
0.1909665,
0.2281836,
0.2568754
],
"values": [
1.46659,
1.17654,
0.983175,
1.17654,
1.46659
]
},
{
"index_1": [
0.933283
],
"index_2": [
0.417506
],
"index_3": [
0.00708038
],
"index_4": [
0.2082161,
0.2233977,
0.2490947,
0.2846951,
0.3134844
],
"values": [
1.74697,
1.62516,
1.54395,
1.62516,
1.74697
]
},
{
"index_1": [
1.17265
],
"index_2": [
0.141665
],
"index_3": [
0.00708038
],
"index_4": [
0.0742284,
0.0834078,
0.0981956,
0.1214797,
0.1423167
],
"values": [
1.69513,
1.5422,
1.44025,
1.5422,
1.69513
]
},
{
"index_1": [
1.05115
],
"index_2": [
0.447462
],
"index_3": [
0.0212411
],
"index_4": [
0.2243277,
0.2481127,
0.2859223,
0.3474411,
0.401944
],
"values": [
1.7581,
1.64296,
1.56621,
1.64296,
1.7581
]
},
{
"index_1": [
1.17265
],
"index_2": [
0.283331
],
"index_3": [
0.0212411
],
"index_4": [
0.1440678,
0.1632626,
0.1973842,
0.2426438,
0.2886688
],
"values": [
1.74803,
1.62684,
1.54605,
1.62684,
1.74803
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.18496
],
"index_2": [
1.08117
],
"index_3": [
0.00343017
],
"index_4": [
0.5302015,
0.5519887,
0.578115,
0.6181468,
0.6401962
],
"values": [
0.218729,
0.349966,
0.437458,
0.349966,
0.218729
]
},
{
"index_1": [
1.30026
],
"index_2": [
0.632129
],
"index_3": [
0.00343017
],
"index_4": [
0.3121255,
0.3320553,
0.3631048,
0.3927265,
0.4123945
],
"values": [
0.371656,
0.59465,
0.743312,
0.59465,
0.371656
]
},
{
"index_1": [
1.40412
],
"index_2": [
0.428598
],
"index_3": [
0.00343017
],
"index_4": [
0.213023,
0.231098,
0.2620005,
0.2848766,
0.3015449
],
"values": [
0.452317,
0.723708,
0.904635,
0.723708,
0.452317
]
},
{
"index_1": [
1.18496
],
"index_2": [
0.540584
],
"index_3": [
0.00343017
],
"index_4": [
0.2680148,
0.2799727,
0.2986015,
0.3168608,
0.3298623
],
"values": [
0.135036,
0.216058,
0.270073,
0.216058,
0.135036
]
},
{
"index_1": [
1.40412
],
"index_2": [
0.214299
],
"index_3": [
0.00343017
],
"index_4": [
0.1098945,
0.1190846,
0.1314242,
0.1479101,
0.15693
],
"values": [
0.247697,
0.396315,
0.495394,
0.396315,
0.247697
]
},
{
"index_1": [
1.30026
],
"index_2": [
0.632129
],
"index_3": [
0.0102905
],
"index_4": [
0.3133387,
0.3361946,
0.3738417,
0.4052004,
0.4272135
],
"values": [
0.182341,
0.291746,
0.364682,
0.291746,
0.182341
]
},
{
"index_1": [
1.40412
],
"index_2": [
0.428598
],
"index_3": [
0.0102905
],
"index_4": [
0.2150133,
0.2348894,
0.2618179,
0.2943603,
0.3132966
],
"values": [
0.21133,
0.338127,
0.422659,
0.338127,
0.21133
]
}
]
},
"stage_type": "both"
},
"direction": "output",
"function": "IQ",
"internal_power": [
{
"fall_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.001374808,
0.003780197,
0.01039409,
0.02857978,
0.07858345,
0.2160744
],
"values": [
[
-0.0073809,
-0.002826,
0.0058019,
0.0138204,
-7.415e-05,
-0.0817363,
-0.3368199
],
[
-0.0073385,
-0.0027944,
0.0057916,
0.0137277,
-0.000208,
-0.0819565,
-0.3370667
],
[
-0.0072181,
-0.0026816,
0.0058968,
0.0138206,
-0.0001843,
-0.0818979,
-0.3370125
],
[
-0.0074353,
-0.0028854,
0.0057061,
0.0137252,
-0.0002428,
-0.0819355,
-0.337028
],
[
-0.0079432,
-0.0033374,
0.0053545,
0.0134943,
-0.000293,
-0.0818645,
-0.3369223
],
[
-0.0090821,
-0.0043423,
0.0046319,
0.0131919,
-0.000126,
-0.0814086,
-0.3363387
],
[
-0.0116413,
-0.0064904,
0.0033565,
0.0133089,
0.0013568,
-0.0792522,
-0.333594
]
]
},
"related_pin": "D",
"rise_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.001374808,
0.003780197,
0.01039409,
0.02857978,
0.07858345,
0.2160744
],
"values": [
[
-0.0041741,
-0.0024883,
0.0021088,
0.0147223,
0.0487445,
0.1422739,
0.401155
],
[
-0.0041214,
-0.0024601,
0.0020843,
0.0146173,
0.0487876,
0.1420753,
0.4003999
],
[
-0.0039667,
-0.002312,
0.0022169,
0.0147553,
0.0486501,
0.1422091,
0.4003615
],
[
-0.0041653,
-0.0025155,
0.0020122,
0.0145417,
0.0484462,
0.1428316,
0.4000349
],
[
-0.0045944,
-0.0029101,
0.0016893,
0.0143146,
0.0483298,
0.1427319,
0.4016556
],
[
-0.0055386,
-0.0036915,
0.0012574,
0.0143377,
0.0489823,
0.1431598,
0.4033027
],
[
-0.0076919,
-0.0054502,
0.0003446,
0.0147596,
0.050765,
0.145551,
0.4017338
]
]
}
},
{
"fall_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.001374808,
0.003780197,
0.01039409,
0.02857978,
0.07858345,
0.2160744
],
"values": [
[
-0.0065011,
-0.0012661,
0.0087806,
0.0189918,
0.0073307,
-0.0728995,
-0.3272904
],
[
-0.0064537,
-0.001236,
0.0087847,
0.0189856,
0.0072369,
-0.0730365,
-0.3274393
],
[
-0.006327,
-0.0011216,
0.0088513,
0.0190129,
0.0071957,
-0.0730728,
-0.3274888
],
[
-0.0065409,
-0.0013381,
0.0086423,
0.0187775,
0.0069848,
-0.0733126,
-0.3277335
],
[
-0.0070093,
-0.0017765,
0.0082529,
0.0184792,
0.0068165,
-0.0734116,
-0.3278012
],
[
-0.0080515,
-0.0026622,
0.0076778,
0.018378,
0.0071671,
-0.0727673,
-0.3269983
],
[
-0.0103612,
-0.0045131,
0.0068273,
0.0190519,
0.0094298,
-0.0696871,
-0.3232213
]
]
},
"related_pin": "GATE_N",
"rise_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.001374808,
0.003780197,
0.01039409,
0.02857978,
0.07858345,
0.2160744
],
"values": [
[
-0.0082881,
-0.005435,
0.0016311,
0.0180979,
0.0560075,
0.1529697,
0.4136086
],
[
-0.0082412,
-0.0054074,
0.0016262,
0.018043,
0.0558756,
0.1527994,
0.4133861
],
[
-0.0081159,
-0.0052957,
0.0017021,
0.0180617,
0.0558064,
0.151979,
0.4114226
],
[
-0.0083234,
-0.0054961,
0.001512,
0.017924,
0.0557421,
0.1518557,
0.4113418
],
[
-0.0087935,
-0.0059336,
0.0011461,
0.0176643,
0.0555773,
0.1525183,
0.4129823
],
[
-0.0098446,
-0.0068535,
0.0005029,
0.0174905,
0.0558716,
0.1529835,
0.4140588
],
[
-0.0121751,
-0.0087449,
-0.0004503,
0.0178995,
0.0575014,
0.1555741,
0.4166025
]
]
}
},
{
"fall_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.001374808,
0.003780197,
0.01039409,
0.02857978,
0.07858345,
0.2160744
],
"values": [
[
-6.005e-05,
0.0034585,
0.0098699,
0.0143207,
-0.0032099,
-0.0872338,
-0.3434014
],
[
-9.6e-05,
0.003407,
0.0097395,
0.0141207,
-0.0034745,
-0.087506,
-0.3437419
],
[
-0.0001536,
0.0033204,
0.0096355,
0.0139515,
-0.003677,
-0.0877423,
-0.3439878
],
[
-0.0001467,
0.0033388,
0.0096483,
0.0139916,
-0.0035683,
-0.0875958,
-0.3438284
],
[
-0.0001163,
0.0034185,
0.0098014,
0.0143978,
-0.0031757,
-0.0871097,
-0.3433125
],
[
1.99e-05,
0.0037707,
0.0105811,
0.0156551,
-0.0012724,
-0.0848419,
-0.3409016
],
[
0.0004344,
0.0048517,
0.0129742,
0.0200195,
0.0048589,
-0.0780178,
-0.3333507
]
]
},
"related_pin": "RESET_B",
"rise_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.001374808,
0.003780197,
0.01039409,
0.02857978,
0.07858345,
0.2160744
],
"values": [
[
0.0027499,
0.0034352,
0.005919,
0.0151336,
0.0455394,
0.1375613,
0.3930653
],
[
0.0027684,
0.0034422,
0.0059068,
0.0150902,
0.0455139,
0.1376382,
0.393677
],
[
0.0028161,
0.0034731,
0.0058888,
0.0150419,
0.0454546,
0.1367262,
0.3924438
],
[
0.0028001,
0.0034247,
0.0057775,
0.0148495,
0.0455097,
0.1374011,
0.3965634
],
[
0.0028194,
0.0034767,
0.0059068,
0.0150439,
0.045573,
0.1371899,
0.3951982
],
[
0.0029326,
0.0037408,
0.0064924,
0.0161452,
0.0468873,
0.1383312,
0.3981454
],
[
0.0032664,
0.0045056,
0.0082492,
0.0191936,
0.0516224,
0.1435338,
0.4012999
]
]
}
}
],
"max_capacitance": 0.216074,
"max_transition": 1.510321,
"output_voltage": "GENERAL",
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"cell_fall del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00137481,
0.0037802,
0.0103941,
0.0285798,
0.0785834,
0.216074
],
"values": [
[
0.1430043,
0.1477239,
0.1578995,
0.1789008,
0.2247696,
0.3385129,
0.6486098
],
[
0.1476898,
0.1524245,
0.1626022,
0.1836011,
0.229468,
0.3432243,
0.653619
],
[
0.159835,
0.1645571,
0.1747314,
0.1957345,
0.2415849,
0.3553564,
0.6653725
],
[
0.1849614,
0.1896677,
0.1998535,
0.2208488,
0.2667155,
0.3804703,
0.690674
],
[
0.2254169,
0.2300851,
0.2402754,
0.2612715,
0.3071416,
0.4209096,
0.7314541
],
[
0.2937359,
0.2984686,
0.3086681,
0.3296598,
0.3755415,
0.4893049,
0.799675
],
[
0.4205351,
0.4252586,
0.4354526,
0.4564888,
0.5024021,
0.6161652,
0.9265392
]
]
},
"cell_rise del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00137481,
0.0037802,
0.0103941,
0.0285798,
0.0785834,
0.216074
],
"values": [
[
0.1997782,
0.2055817,
0.219041,
0.2520513,
0.3395905,
0.578076,
1.2353768
],
[
0.2037521,
0.2096271,
0.2231155,
0.2561169,
0.3436509,
0.5820568,
1.2392555
],
[
0.2116656,
0.217504,
0.2309868,
0.2640027,
0.3515206,
0.5895497,
1.2466052
],
[
0.220042,
0.225849,
0.2393491,
0.2723435,
0.3598572,
0.5985217,
1.2536824
],
[
0.2237469,
0.2295778,
0.2429874,
0.2760135,
0.363541,
0.6023346,
1.257949
],
[
0.2127799,
0.218653,
0.232152,
0.2651556,
0.3526555,
0.5905826,
1.2487511
],
[
0.161406,
0.1672427,
0.1807233,
0.2137342,
0.3012721,
0.5399955,
1.1961102
]
]
},
"fall_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00137481,
0.0037802,
0.0103941,
0.0285798,
0.0785834,
0.216074
],
"values": [
[
0.0154538,
0.0189246,
0.0270039,
0.0461916,
0.0963776,
0.2401476,
0.6498377
],
[
0.0154918,
0.0189322,
0.0269998,
0.0462318,
0.0961482,
0.2398774,
0.6523446
],
[
0.0154448,
0.0189324,
0.0270536,
0.0461878,
0.0964487,
0.2401969,
0.65374
],
[
0.0154641,
0.0189197,
0.0269696,
0.0462057,
0.0964207,
0.240058,
0.6508545
],
[
0.0156109,
0.0189584,
0.0269076,
0.0462201,
0.0964026,
0.240547,
0.6496425
],
[
0.0155683,
0.0189908,
0.0270652,
0.046238,
0.0964201,
0.2404639,
0.6530952
],
[
0.015553,
0.0189972,
0.027057,
0.0462677,
0.0963675,
0.2396524,
0.6474087
]
]
},
"related_pin": "D",
"rise_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00137481,
0.0037802,
0.0103941,
0.0285798,
0.0785834,
0.216074
],
"values": [
[
0.0201393,
0.0254076,
0.0401442,
0.0839113,
0.2071468,
0.5513139,
1.4978956
],
[
0.0202078,
0.025505,
0.040064,
0.0839094,
0.2074874,
0.5501881,
1.5043785
],
[
0.0201558,
0.0254451,
0.0400708,
0.0839037,
0.207486,
0.5518906,
1.5037485
],
[
0.0201518,
0.0254421,
0.0400349,
0.0839126,
0.2075365,
0.5506665,
1.4973932
],
[
0.0200632,
0.0253372,
0.0401529,
0.083905,
0.2075287,
0.551976,
1.4962031
],
[
0.0202474,
0.025516,
0.0400704,
0.0839163,
0.2076933,
0.5500865,
1.503312
],
[
0.0202485,
0.0255028,
0.04013,
0.0839255,
0.2075909,
0.5514819,
1.4954755
]
]
},
"timing_sense": "positive_unate",
"timing_type": "combinational"
},
{
"cell_fall del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00137481,
0.0037802,
0.0103941,
0.0285798,
0.0785834,
0.216074
],
"values": [
[
0.158959,
0.163762,
0.1740809,
0.1952281,
0.2411656,
0.3549707,
0.66526
],
[
0.1633551,
0.1681607,
0.1784629,
0.1996098,
0.245547,
0.35934,
0.6693833
],
[
0.1752127,
0.1800097,
0.1903283,
0.2114744,
0.257409,
0.3712185,
0.6812471
],
[
0.2024935,
0.2072889,
0.2176177,
0.238766,
0.2846919,
0.3984942,
0.7088297
],
[
0.2527654,
0.2575791,
0.2679131,
0.2890739,
0.3350235,
0.4488316,
0.7590856
],
[
0.3332579,
0.3380695,
0.3484274,
0.3696249,
0.4156165,
0.5294305,
0.8398248
],
[
0.470339,
0.4751994,
0.4856039,
0.5068493,
0.5529072,
0.666735,
0.9773277
]
]
},
"cell_rise del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00137481,
0.0037802,
0.0103941,
0.0285798,
0.0785834,
0.216074
],
"values": [
[
0.2410203,
0.246888,
0.2604432,
0.2934757,
0.3810408,
0.6197977,
1.2759703
],
[
0.2454123,
0.2512569,
0.2648097,
0.2978477,
0.3854286,
0.6241831,
1.2804103
],
[
0.2571322,
0.2629853,
0.2765405,
0.3095732,
0.3971288,
0.6355132,
1.2914515
],
[
0.2847456,
0.290588,
0.3041487,
0.3371816,
0.4247335,
0.6631705,
1.3198244
],
[
0.3321348,
0.338005,
0.3515589,
0.3845989,
0.4721472,
0.7108134,
1.3672583
],
[
0.4085104,
0.4143667,
0.4279292,
0.4609468,
0.548481,
0.7872861,
1.4428386
],
[
0.5433518,
0.5492053,
0.5627385,
0.5957559,
0.6832819,
0.9216647,
1.5781746
]
]
},
"fall_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00137481,
0.0037802,
0.0103941,
0.0285798,
0.0785834,
0.216074
],
"values": [
[
0.0158756,
0.0193058,
0.0272167,
0.0464126,
0.096569,
0.240589,
0.6548239
],
[
0.0159338,
0.0193058,
0.0272526,
0.0464095,
0.0965539,
0.2403396,
0.6530724
],
[
0.0158962,
0.0192867,
0.027233,
0.0463756,
0.0965127,
0.2407617,
0.6501673
],
[
0.0159075,
0.0193,
0.0272475,
0.0463436,
0.0964681,
0.2401876,
0.653548
],
[
0.0158993,
0.019339,
0.0273665,
0.0464104,
0.0965481,
0.2399894,
0.6515689
],
[
0.0161056,
0.0194954,
0.0273835,
0.0465156,
0.0966009,
0.2402919,
0.6499666
],
[
0.0162576,
0.01969,
0.0278758,
0.0466208,
0.0965454,
0.2402039,
0.6474205
]
]
},
"related_pin": "GATE_N",
"rise_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00137481,
0.0037802,
0.0103941,
0.0285798,
0.0785834,
0.216074
],
"values": [
[
0.0199401,
0.0253902,
0.0400877,
0.0839328,
0.2075189,
0.5516042,
1.5027554
],
[
0.0200108,
0.0253901,
0.0401181,
0.0839263,
0.207533,
0.5515835,
1.5021651
],
[
0.020011,
0.0253558,
0.040182,
0.083929,
0.2076557,
0.5500215,
1.5029555
],
[
0.0200156,
0.0253436,
0.0401263,
0.0838827,
0.2076519,
0.5517458,
1.4986276
],
[
0.0199544,
0.0253694,
0.0401316,
0.0839146,
0.2072466,
0.5510723,
1.5009336
],
[
0.0199724,
0.0253254,
0.0402759,
0.0839466,
0.2072402,
0.5515884,
1.5038081
],
[
0.0198604,
0.0253295,
0.0400411,
0.0838664,
0.2076426,
0.5509654,
1.4999857
]
]
},
"timing_sense": "non_unate",
"timing_type": "falling_edge"
},
{
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
2.15122,
0.621374,
0.561023,
0.537623,
0.50976,
0.494132,
0.477386,
0.459533,
0.440584,
0.42055,
0.399443,
0.377277,
0.354064,
0.329821,
0.304563,
0.278307,
0.251068,
0.222865,
0.193716,
0.163637,
0.132651,
0.100775,
0.0680281,
0.0344297,
-1.22775e-08,
-0.067817,
-0.132538,
-0.348172,
-1.90748
],
[
2.03645,
0.480713,
0.432262,
0.420814,
0.408106,
0.401083,
0.393451,
0.385023,
0.375544,
0.3647,
0.352221,
0.337989,
0.321993,
0.30425,
0.284775,
0.263584,
0.2407,
0.216146,
0.189947,
0.162133,
0.132736,
0.101788,
0.069324,
0.0353821,
-3.33049e-08,
-0.0705208,
-0.13826,
-0.361212,
-1.90962
],
[
1.94215,
0.369045,
0.310811,
0.303037,
0.294808,
0.290468,
0.285938,
0.281174,
0.276119,
0.270689,
0.264767,
0.258175,
0.250637,
0.241748,
0.231028,
0.218142,
0.202972,
0.185491,
0.165704,
0.143626,
0.119283,
0.0927075,
0.0639386,
0.0330197,
-6.03328e-09,
-0.0673841,
-0.133517,
-0.355226,
-1.90909
],
[
1.90846,
0.347334,
0.264699,
0.257923,
0.250834,
0.247137,
0.243314,
0.239338,
0.235175,
0.230778,
0.226082,
0.220993,
0.215365,
0.208972,
0.201447,
0.192259,
0.180892,
0.167077,
0.150734,
0.131847,
0.110427,
0.0864957,
0.060086,
0.031238,
-2.36389e-09,
-0.0647248,
-0.129194,
-0.348685,
-1.90848
],
[
1.87446,
0.343045,
0.216879,
0.211032,
0.204984,
0.201861,
0.198658,
0.195359,
0.191943,
0.188386,
0.184651,
0.180689,
0.176428,
0.171759,
0.166507,
0.160372,
0.152847,
0.143259,
0.131112,
0.116217,
0.0985259,
0.0780357,
0.054763,
0.0287375,
-3.90432e-09,
-0.0608994,
-0.122905,
-0.338868,
-1.90757
],
[
1.85746,
0.343233,
0.192738,
0.187267,
0.181711,
0.178857,
0.175939,
0.172948,
0.169867,
0.166678,
0.163354,
0.159861,
0.156148,
0.152142,
0.147725,
0.142702,
0.136727,
0.129191,
0.119352,
0.106756,
0.0912588,
0.072824,
0.0514537,
0.0271679,
-4.32271e-09,
-0.0584681,
-0.118891,
-0.332494,
-1.90698
],
[
1.84063,
0.343486,
0.16949,
0.163531,
0.158453,
0.155856,
0.153213,
0.150513,
0.147746,
0.144899,
0.141953,
0.138882,
0.135653,
0.132215,
0.128492,
0.124361,
0.119606,
0.113813,
0.106245,
0.0961118,
0.083028,
0.0668857,
0.0476603,
0.0253575,
-7.4678e-09,
-0.0556452,
-0.11422,
-0.325004,
-1.9063
],
[
1.82414,
0.343474,
0.15071,
0.140063,
0.135438,
0.133091,
0.130711,
0.12829,
0.125821,
0.123294,
0.120697,
0.118012,
0.115215,
0.112273,
0.109138,
0.105733,
0.101929,
0.0974838,
0.0918923,
0.0842564,
0.0737832,
0.0601724,
0.0433457,
0.0232855,
-1.21152e-07,
-0.052396,
-0.108837,
-0.316288,
-1.9055
],
[
1.80817,
0.343193,
0.139945,
0.117356,
0.112934,
0.110831,
0.108706,
0.106554,
0.10437,
0.102146,
0.0998749,
0.0975444,
0.0951391,
0.0926378,
0.0900096,
0.0872082,
0.0841585,
0.0807275,
0.0766407,
0.0712558,
0.0634993,
0.0526453,
0.0384751,
0.0209304,
-2.70134e-06,
-0.048689,
-0.102688,
-0.306236,
-1.90458
],
[
1.79294,
0.343388,
0.135561,
0.0974137,
0.0912539,
0.0893867,
0.0875083,
0.0856141,
0.0837007,
0.0817635,
0.079797,
0.0777938,
0.0757442,
0.0736351,
0.0714479,
0.0691555,
0.0667159,
0.0640599,
0.0610525,
0.0573723,
0.0521729,
0.0442401,
0.0329761,
0.0182264,
-5.69749e-05,
-0.0445427,
-0.0957701,
-0.294789,
-1.90358
],
[
1.77863,
0.344359,
0.134727,
0.0845961,
0.0707298,
0.0690018,
0.0673112,
0.0656146,
0.0639088,
0.0621911,
0.060458,
0.0587055,
0.0569277,
0.0551175,
0.0532647,
0.0513579,
0.0493934,
0.0473412,
0.0451147,
0.0425772,
0.0393381,
0.0342738,
0.0261242,
0.0144443,
-0.00087985,
-0.0406472,
-0.0887566,
-0.282563,
-1.90298
],
[
1.76549,
0.34652,
0.136113,
0.0795364,
0.051608,
0.0490845,
0.0471793,
0.0452985,
0.0434208,
0.0415418,
0.0396594,
0.0377723,
0.03588,
0.0339847,
0.0321024,
0.0303039,
0.0286744,
0.0270667,
0.0253928,
0.0235945,
0.0215424,
0.0187413,
0.0137495,
0.00538383,
-0.00666721,
-0.04117,
-0.0858,
-0.273647,
-1.90578
],
[
1.75377,
0.350284,
0.139286,
0.0784101,
0.0343524,
0.0266062,
0.0232946,
0.0204547,
0.017721,
0.0150384,
0.0123937,
0.00978819,
0.00723655,
0.00477971,
0.00252448,
0.000685092,
-0.000743586,
-0.00206387,
-0.00337451,
-0.00470984,
-0.00611116,
-0.00769373,
-0.0100862,
-0.0150213,
-0.0235095,
-0.0521364,
-0.0928876,
-0.274,
-1.91625
],
[
1.74375,
0.356091,
0.144116,
0.0783671,
0.0201234,
0.00191667,
-0.00629131,
-0.0108801,
-0.0147377,
-0.0183242,
-0.0217468,
-0.0250257,
-0.0281333,
-0.0309833,
-0.033387,
-0.0351052,
-0.0363578,
-0.0374605,
-0.0385055,
-0.0395221,
-0.040528,
-0.0415471,
-0.0426711,
-0.0446801,
-0.0494903,
-0.0715711,
-0.107972,
-0.281748,
-1.93279
],
[
1.73577,
0.364436,
0.150539,
0.0787781,
0.0096253,
-0.0177177,
-0.035082,
-0.0434889,
-0.0488514,
-0.053295,
-0.0572943,
-0.0609718,
-0.0643214,
-0.067233,
-0.0694571,
-0.0708964,
-0.0719364,
-0.0728221,
-0.0736301,
-0.074388,
-0.0751091,
-0.0758023,
-0.0764792,
-0.0772133,
-0.0790052,
-0.09404,
-0.125596,
-0.291773,
-1.95143
],
[
1.73022,
0.375904,
0.158534,
0.0805325,
0.00323053,
-0.0304396,
-0.0562497,
-0.0717816,
-0.0799672,
-0.0856076,
-0.0902275,
-0.0942354,
-0.0977064,
-0.100513,
-0.102416,
-0.103601,
-0.104469,
-0.105197,
-0.10585,
-0.106455,
-0.107024,
-0.107566,
-0.108087,
-0.108593,
-0.109232,
-0.117484,
-0.143657,
-0.30206,
-1.9704
],
[
1.72755,
0.390933,
0.16788,
0.0842609,
0.000680015,
-0.037453,
-0.0692674,
-0.0927259,
-0.106222,
-0.114095,
-0.119771,
-0.124327,
-0.128023,
-0.130744,
-0.132451,
-0.133564,
-0.134418,
-0.135147,
-0.135805,
-0.136419,
-0.137001,
-0.137559,
-0.138098,
-0.138623,
-0.139149,
-0.14257,
-0.162285,
-0.31233,
-1.98925
],
[
1.72771,
0.408601,
0.177189,
0.0887939,
0.000116998,
-0.0413681,
-0.0774989,
-0.10711,
-0.12766,
-0.139573,
-0.147116,
-0.152592,
-0.156651,
-0.159355,
-0.161039,
-0.162218,
-0.163161,
-0.163981,
-0.164727,
-0.165424,
-0.166085,
-0.166721,
-0.167335,
-0.167932,
-0.168517,
-0.170103,
-0.18226,
-0.322703,
-2.00785
],
[
1.72941,
0.426759,
0.18513,
0.092834,
2.12002e-05,
-0.0440421,
-0.0833719,
-0.117281,
-0.144079,
-0.161778,
-0.172421,
-0.179326,
-0.183891,
-0.186702,
-0.188505,
-0.189833,
-0.190926,
-0.191884,
-0.192758,
-0.193574,
-0.194347,
-0.195088,
-0.195802,
-0.196495,
-0.19717,
-0.198546,
-0.204378,
-0.33333,
-2.02616
],
[
1.73146,
0.44427,
0.191612,
0.0961662,
3.90775e-06,
-0.0460742,
-0.0878369,
-0.12482,
-0.156038,
-0.17958,
-0.194706,
-0.203815,
-0.209186,
-0.21235,
-0.214444,
-0.216035,
-0.21736,
-0.218526,
-0.219586,
-0.220572,
-0.221502,
-0.222388,
-0.223239,
-0.22406,
-0.224857,
-0.226404,
-0.229038,
-0.344311,
-2.04411
],
[
1.7335,
0.46074,
0.196912,
0.0988969,
6.56195e-07,
-0.0476798,
-0.0913378,
-0.130611,
-0.164859,
-0.192784,
-0.212713,
-0.224826,
-0.231525,
-0.235427,
-0.238073,
-0.240112,
-0.241815,
-0.243308,
-0.244658,
-0.245904,
-0.247071,
-0.248174,
-0.249226,
-0.250234,
-0.251207,
-0.253066,
-0.25504,
-0.355667,
-2.06163
],
[
1.73549,
0.475889,
0.201284,
0.101147,
8.10543e-08,
-0.048974,
-0.0941378,
-0.135175,
-0.171591,
-0.202437,
-0.226042,
-0.241159,
-0.249616,
-0.254684,
-0.258217,
-0.260975,
-0.263283,
-0.265299,
-0.26711,
-0.268768,
-0.270307,
-0.271751,
-0.273114,
-0.274412,
-0.275652,
-0.277995,
-0.28024,
-0.3673,
-2.07859
],
[
1.73741,
0.489436,
0.20492,
0.103016,
1.16898e-08,
-0.0500313,
-0.0964104,
-0.13884,
-0.176879,
-0.2097,
-0.235623,
-0.252802,
-0.262814,
-0.269146,
-0.273749,
-0.277433,
-0.280559,
-0.283309,
-0.285786,
-0.288052,
-0.29015,
-0.292108,
-0.293949,
-0.295689,
-0.297341,
-0.300429,
-0.303307,
-0.378941,
-2.0948
],
[
1.73923,
0.501139,
0.207965,
0.104576,
7.87063e-09,
-0.0509037,
-0.0982762,
-0.141825,
-0.181122,
-0.21535,
-0.242691,
-0.260991,
-0.271962,
-0.279213,
-0.28469,
-0.289205,
-0.293125,
-0.296637,
-0.299846,
-0.302817,
-0.305591,
-0.308199,
-0.310662,
-0.312996,
-0.315215,
-0.319359,
-0.32319,
-0.390078,
-2.10996
],
[
1.74094,
0.510883,
0.210528,
0.105885,
8.52766e-09,
-0.051629,
-0.0998216,
-0.144283,
-0.18458,
-0.219856,
-0.248105,
-0.266984,
-0.278465,
-0.286257,
-0.292285,
-0.297354,
-0.301832,
-0.305909,
-0.309692,
-0.313245,
-0.316609,
-0.319812,
-0.322874,
-0.325809,
-0.328629,
-0.33396,
-0.338947,
-0.39997,
-2.12359
],
[
1.74397,
0.525012,
0.214528,
0.107919,
1.11741e-08,
-0.0527446,
-0.10219,
-0.14803,
-0.189798,
-0.226524,
-0.255826,
-0.275187,
-0.287104,
-0.295407,
-0.301972,
-0.307592,
-0.312633,
-0.317288,
-0.321668,
-0.325841,
-0.329851,
-0.333729,
-0.337496,
-0.341168,
-0.344757,
-0.351727,
-0.358484,
-0.414078,
-2.14409
],
[
1.74633,
0.533955,
0.217403,
0.109372,
1.54985e-08,
-0.0535339,
-0.103864,
-0.150668,
-0.193444,
-0.23111,
-0.26098,
-0.280505,
-0.292593,
-0.301123,
-0.307935,
-0.313805,
-0.319098,
-0.324009,
-0.328649,
-0.333087,
-0.337371,
-0.341531,
-0.345591,
-0.349569,
-0.353477,
-0.361135,
-0.368657,
-0.423042,
-2.15532
],
[
1.74948,
0.546388,
0.221917,
0.111618,
4.297e-08,
-0.0547486,
-0.106468,
-0.154796,
-0.199153,
-0.238231,
-0.268826,
-0.288469,
-0.300732,
-0.309529,
-0.316635,
-0.322804,
-0.328396,
-0.333602,
-0.338536,
-0.343269,
-0.347849,
-0.352308,
-0.356671,
-0.360956,
-0.365178,
-0.373487,
-0.381702,
-0.436881,
-2.16792
],
[
1.75017,
0.549719,
0.222808,
0.111975,
1.56944e-07,
-0.0549752,
-0.107098,
-0.155976,
-0.20098,
-0.240682,
-0.271627,
-0.291369,
-0.303733,
-0.312653,
-0.319887,
-0.326182,
-0.331898,
-0.337226,
-0.34228,
-0.347133,
-0.351831,
-0.356409,
-0.36089,
-0.365294,
-0.369636,
-0.378189,
-0.386656,
-0.442247,
-2.17252
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000593146,
"miller_cap_rise": 0.000382252,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0197753,
0.0289484,
0.0392644,
0.051558,
0.0698082
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.0701177,
0.0844058,
0.0966884,
0.1091888,
0.1274796
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0243403,
0.0435387,
0.0650839,
0.0878713,
0.1211356
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.0881453,
0.1100784,
0.1317356,
0.1543534,
0.1876867
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.931132
],
"index_2": [
1.16542
],
"index_3": [
0.001
],
"index_4": [
0.5769915,
0.6146616,
0.6822999,
0.7606623,
0.813393
],
"values": [
1.52462,
1.26939,
1.09923,
1.26939,
1.52462
]
},
{
"index_1": [
1.03817
],
"index_2": [
0.6438
],
"index_3": [
0.001
],
"index_4": [
0.3213794,
0.3499964,
0.4023424,
0.4690531,
0.5113591
],
"values": [
1.44051,
1.13481,
0.931013,
1.13481,
1.44051
]
},
{
"index_1": [
1.14491
],
"index_2": [
0.415376
],
"index_3": [
0.001
],
"index_4": [
0.2096513,
0.2327076,
0.2789305,
0.329939,
0.3646619
],
"values": [
1.40637,
1.0802,
0.862748,
1.0802,
1.40637
]
},
{
"index_1": [
0.931132
],
"index_2": [
0.58271
],
"index_3": [
0.001
],
"index_4": [
0.295091,
0.3152644,
0.3541388,
0.3922888,
0.4245014
],
"values": [
1.72492,
1.58987,
1.49984,
1.58987,
1.72492
]
},
{
"index_1": [
1.03817
],
"index_2": [
0.3219
],
"index_3": [
0.001
],
"index_4": [
0.1672275,
0.182419,
0.2068179,
0.2413362,
0.268396
],
"values": [
1.6849,
1.52584,
1.4198,
1.52584,
1.6849
]
},
{
"index_1": [
1.14491
],
"index_2": [
0.207688
],
"index_3": [
0.001
],
"index_4": [
0.1109734,
0.1230032,
0.1439411,
0.1708217,
0.1932919
],
"values": [
1.67167,
1.50468,
1.39335,
1.50468,
1.67167
]
},
{
"index_1": [
1.03817
],
"index_2": [
0.965699
],
"index_3": [
0.001
],
"index_4": [
0.4708579,
0.5125578,
0.589502,
0.6854371,
0.749053
],
"values": [
1.27284,
0.866548,
0.595685,
0.866548,
1.27284
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.20754
],
"index_2": [
2.20728
],
"index_3": [
0.001
],
"index_4": [
1.0801225,
1.1238754,
1.1861508,
1.2539011,
1.3009503
],
"values": [
0.215368,
0.344588,
0.430735,
0.344588,
0.215368
]
},
{
"index_1": [
1.31791
],
"index_2": [
1.28382
],
"index_3": [
0.001
],
"index_4": [
0.6285876,
0.6687307,
0.7253595,
0.793408,
0.8320026
],
"values": [
0.346171,
0.553873,
0.692341,
0.553873,
0.346171
]
},
{
"index_1": [
1.41851
],
"index_2": [
0.865662
],
"index_3": [
0.001
],
"index_4": [
0.4247354,
0.4607871,
0.5252507,
0.5712598,
0.6036617
],
"values": [
0.413131,
0.661009,
0.826261,
0.661009,
0.413131
]
},
{
"index_1": [
1.20754
],
"index_2": [
1.10364
],
"index_3": [
0.001
],
"index_4": [
0.5410397,
0.5665553,
0.6060792,
0.6421855,
0.6697469
],
"values": [
0.134992,
0.215987,
0.269984,
0.215987,
0.134992
]
},
{
"index_1": [
1.31791
],
"index_2": [
0.641909
],
"index_3": [
0.001
],
"index_4": [
0.3179959,
0.3398934,
0.3773068,
0.4072707,
0.4291
],
"values": [
0.200867,
0.321387,
0.401733,
0.321387,
0.200867
]
},
{
"index_1": [
1.41851
],
"index_2": [
0.432831
],
"index_3": [
0.001
],
"index_4": [
0.2166083,
0.2360795,
0.264302,
0.2938854,
0.31213
],
"values": [
0.233737,
0.373979,
0.467474,
0.373979,
0.233737
]
},
{
"index_1": [
1.31791
],
"index_2": [
1.92573
],
"index_3": [
0.001
],
"index_4": [
0.9369233,
0.9945088,
1.0897455,
1.1711924,
1.2256342
],
"values": [
0.460453,
0.736725,
0.920906,
0.736725,
0.460453
]
}
]
},
"stage_type": "both"
},
"ccsn_last_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
5.00188,
0.789187,
0.604792,
0.58155,
0.553432,
0.537419,
0.520119,
0.501546,
0.481704,
0.4606,
0.438242,
0.414635,
0.389791,
0.363718,
0.33643,
0.307938,
0.278256,
0.247401,
0.215387,
0.182231,
0.147953,
0.112571,
0.0761045,
0.0385738,
-8.7428e-09,
-0.0757814,
-0.14764,
-0.383232,
-2.89092
],
[
4.81977,
0.626906,
0.463883,
0.452146,
0.439177,
0.432044,
0.424326,
0.415846,
0.406353,
0.395512,
0.38295,
0.368425,
0.351884,
0.333339,
0.312798,
0.290266,
0.265747,
0.239251,
0.21079,
0.180383,
0.148049,
0.113813,
0.0777047,
0.0397553,
-2.07504e-09,
-0.0791019,
-0.154579,
-0.401283,
-2.89528
],
[
4.68584,
0.497071,
0.334757,
0.326665,
0.318099,
0.313584,
0.308873,
0.303922,
0.298674,
0.293045,
0.286917,
0.280114,
0.272359,
0.263233,
0.252184,
0.238767,
0.222793,
0.204206,
0.182977,
0.159092,
0.132546,
0.103345,
0.0715043,
0.0370453,
-1.98244e-09,
-0.075602,
-0.1494,
-0.394603,
-2.89432
],
[
4.64469,
0.475412,
0.285991,
0.278906,
0.271488,
0.267618,
0.263614,
0.259451,
0.25509,
0.250485,
0.245569,
0.240242,
0.234359,
0.227684,
0.219838,
0.210249,
0.198307,
0.183661,
0.166179,
0.145805,
0.122509,
0.0962766,
0.067106,
0.0350069,
-1.83139e-09,
-0.0725939,
-0.144567,
-0.387361,
-2.8932
],
[
4.60142,
0.468559,
0.235475,
0.229324,
0.222961,
0.219673,
0.216297,
0.212817,
0.209213,
0.205454,
0.201505,
0.197312,
0.192799,
0.187848,
0.182273,
0.175755,
0.167754,
0.15753,
0.144507,
0.12843,
0.1092,
0.0867632,
0.061091,
0.0321695,
-1.83469e-09,
-0.0682775,
-0.137533,
-0.376641,
-2.89152
],
[
4.57932,
0.466353,
0.21007,
0.204194,
0.198333,
0.195318,
0.192233,
0.189067,
0.185804,
0.182421,
0.178891,
0.175176,
0.171221,
0.166945,
0.162221,
0.156837,
0.150414,
0.142301,
0.131686,
0.118044,
0.101166,
0.0809644,
0.0573867,
0.0304029,
-2.2016e-09,
-0.065545,
-0.133051,
-0.369774,
-2.89043
],
[
4.55718,
0.464507,
0.186165,
0.179081,
0.173706,
0.170955,
0.16815,
0.165283,
0.162341,
0.159309,
0.156166,
0.152885,
0.149427,
0.145737,
0.141729,
0.137265,
0.132104,
0.125791,
0.117529,
0.106464,
0.0921476,
0.0744115,
0.0531726,
0.0283792,
-7.86859e-09,
-0.0623842,
-0.127848,
-0.361798,
-2.88915
],
[
4.53523,
0.463688,
0.1683,
0.154231,
0.149308,
0.146813,
0.144278,
0.141697,
0.139061,
0.136359,
0.133577,
0.130693,
0.127683,
0.124508,
0.121113,
0.117409,
0.113247,
0.108351,
0.102154,
0.0936884,
0.0821126,
0.06707,
0.0484198,
0.0260811,
-1.36122e-07,
-0.0587624,
-0.121867,
-0.352642,
-2.88766
],
[
4.51372,
0.464092,
0.16066,
0.130231,
0.125405,
0.12316,
0.120888,
0.118584,
0.116241,
0.113852,
0.111407,
0.108893,
0.10629,
0.103576,
0.100712,
0.097646,
0.0942872,
0.0904773,
0.0858909,
0.0797975,
0.071058,
0.058918,
0.0431044,
0.0234917,
-3.05802e-06,
-0.0546526,
-0.115059,
-0.342253,
-2.88595
],
[
4.49296,
0.466001,
0.161012,
0.109379,
0.102311,
0.100308,
0.0982907,
0.0962535,
0.0941919,
0.0921008,
0.0899734,
0.0878011,
0.0855721,
0.0832707,
0.0808746,
0.0783507,
0.0756475,
0.0726775,
0.0692723,
0.0650368,
0.0590002,
0.0499125,
0.0371666,
0.0205478,
-6.51089e-05,
-0.0500926,
-0.107447,
-0.330689,
-2.88415
],
[
4.47326,
0.46971,
0.165693,
0.0969028,
0.0803884,
0.0785373,
0.0767314,
0.0749162,
0.0730878,
0.071243,
0.0693775,
0.0674861,
0.0655619,
0.0635953,
0.0615732,
0.059477,
0.057278,
0.0549304,
0.0523518,
0.0493649,
0.0454613,
0.039359,
0.0298271,
0.016414,
-0.00106372,
-0.0460336,
-0.100058,
-0.319315,
-2.88429
],
[
4.455,
0.475539,
0.173106,
0.0944446,
0.0600558,
0.0574914,
0.0555643,
0.0536538,
0.0517411,
0.0498222,
0.0478942,
0.0459542,
0.0439986,
0.0420223,
0.0400186,
0.0379778,
0.0358857,
0.03372,
0.0314431,
0.0289821,
0.0261502,
0.0222525,
0.015687,
0.00538534,
-0.00898429,
-0.0489993,
-0.0999524,
-0.317009,
-2.8987
],
[
4.4386,
0.483841,
0.182847,
0.0978571,
0.0417894,
0.0344157,
0.0312981,
0.0285246,
0.0258235,
0.0231518,
0.0204959,
0.0178495,
0.0152085,
0.0125697,
0.00992933,
0.00728283,
0.00462381,
0.0019427,
-0.00077584,
-0.00355907,
-0.00646589,
-0.00969639,
-0.0142271,
-0.0218791,
-0.03347,
-0.0691715,
-0.117833,
-0.336327,
-2.94319
],
[
4.42451,
0.495016,
0.194983,
0.10412,
0.0261337,
0.00735665,
0.00058129,
-0.00371263,
-0.00753765,
-0.0111883,
-0.0147477,
-0.0182493,
-0.0217096,
-0.025138,
-0.0285404,
-0.0319215,
-0.0352855,
-0.0386367,
-0.0419814,
-0.0453286,
-0.0486954,
-0.0521235,
-0.0558324,
-0.0611635,
-0.0699362,
-0.101029,
-0.147217,
-0.368239,
-3.00281
],
[
4.41324,
0.509496,
0.209809,
0.112159,
0.0137298,
-0.0184669,
-0.0325678,
-0.0391171,
-0.0441097,
-0.0485953,
-0.052839,
-0.0569405,
-0.0609462,
-0.0648814,
-0.0687609,
-0.0725942,
-0.0763879,
-0.0801472,
-0.0838764,
-0.0875801,
-0.0912641,
-0.0949379,
-0.0986279,
-0.102601,
-0.108579,
-0.134082,
-0.176852,
-0.399426,
-3.05855
],
[
4.40535,
0.527896,
0.227783,
0.121996,
0.00532837,
-0.0390798,
-0.0629103,
-0.0728847,
-0.0792159,
-0.0844703,
-0.0892513,
-0.0937706,
-0.0981239,
-0.102361,
-0.106513,
-0.110597,
-0.114627,
-0.118611,
-0.122557,
-0.126472,
-0.13036,
-0.134228,
-0.138084,
-0.141951,
-0.146337,
-0.165618,
-0.204101,
-0.42741,
-3.10717
],
[
4.40151,
0.550786,
0.24922,
0.133967,
0.00130451,
-0.0536584,
-0.0879351,
-0.102938,
-0.111042,
-0.117202,
-0.122572,
-0.12753,
-0.13224,
-0.136788,
-0.141221,
-0.145569,
-0.149853,
-0.154086,
-0.158278,
-0.16244,
-0.166576,
-0.170695,
-0.174803,
-0.17891,
-0.183109,
-0.196754,
-0.229989,
-0.453467,
-3.15078
],
[
4.40212,
0.57788,
0.272929,
0.147034,
0.000230257,
-0.0638856,
-0.108301,
-0.130084,
-0.140671,
-0.148037,
-0.154174,
-0.159701,
-0.164874,
-0.169818,
-0.174606,
-0.17928,
-0.183869,
-0.188392,
-0.192864,
-0.197295,
-0.201695,
-0.206071,
-0.210434,
-0.21479,
-0.219165,
-0.229508,
-0.256004,
-0.478799,
-3.19131
],
[
4.4063,
0.607046,
0.296403,
0.159272,
4.10754e-05,
-0.0719811,
-0.125639,
-0.155531,
-0.169426,
-0.178282,
-0.185314,
-0.191476,
-0.197146,
-0.202505,
-0.207654,
-0.21265,
-0.217534,
-0.222331,
-0.22706,
-0.231736,
-0.23637,
-0.240972,
-0.245553,
-0.250122,
-0.254693,
-0.264174,
-0.283364,
-0.504125,
-3.2299
],
[
4.41181,
0.63659,
0.318303,
0.170048,
7.62283e-06,
-0.0788088,
-0.140628,
-0.179297,
-0.197479,
-0.208146,
-0.21619,
-0.223037,
-0.229223,
-0.235,
-0.240502,
-0.245809,
-0.250971,
-0.256022,
-0.260986,
-0.265882,
-0.270724,
-0.275525,
-0.280295,
-0.285047,
-0.289794,
-0.299379,
-0.313028,
-0.529837,
-3.26718
],
[
4.41757,
0.66603,
0.338182,
0.179427,
1.34388e-06,
-0.0846572,
-0.153603,
-0.201056,
-0.22464,
-0.237534,
-0.246743,
-0.254337,
-0.261067,
-0.267272,
-0.273128,
-0.27874,
-0.28417,
-0.289463,
-0.294649,
-0.29975,
-0.304783,
-0.309764,
-0.314705,
-0.319621,
-0.324525,
-0.334369,
-0.345392,
-0.556149,
-3.30355
],
[
4.42334,
0.695233,
0.355865,
0.187578,
1.83648e-07,
-0.0896909,
-0.164839,
-0.220557,
-0.250653,
-0.266317,
-0.276887,
-0.285313,
-0.292628,
-0.29928,
-0.305499,
-0.311416,
-0.317113,
-0.322642,
-0.328042,
-0.333338,
-0.338552,
-0.343702,
-0.348802,
-0.353868,
-0.358916,
-0.369024,
-0.379474,
-0.583177,
-3.33924
],
[
4.42909,
0.724122,
0.371347,
0.194664,
1.67477e-08,
-0.094034,
-0.174581,
-0.237736,
-0.275234,
-0.294357,
-0.306543,
-0.31591,
-0.323862,
-0.330989,
-0.337585,
-0.343816,
-0.349781,
-0.355546,
-0.361156,
-0.366644,
-0.372034,
-0.377346,
-0.382597,
-0.387806,
-0.392988,
-0.403346,
-0.413872,
-0.610982,
-3.37443
],
[
4.43481,
0.752633,
0.384756,
0.200826,
4.39649e-09,
-0.0977869,
-0.183037,
-0.25271,
-0.298086,
-0.321501,
-0.335632,
-0.346076,
-0.354732,
-0.36237,
-0.369365,
-0.375921,
-0.382161,
-0.388165,
-0.393987,
-0.399665,
-0.405228,
-0.410699,
-0.416098,
-0.421444,
-0.426754,
-0.43735,
-0.448064,
-0.639593,
-3.40922
],
[
4.44049,
0.780697,
0.396307,
0.206182,
4.73824e-09,
-0.101033,
-0.190384,
-0.265699,
-0.318939,
-0.347577,
-0.364068,
-0.375763,
-0.385206,
-0.393401,
-0.40082,
-0.407718,
-0.414243,
-0.420492,
-0.426529,
-0.432398,
-0.438134,
-0.443763,
-0.449308,
-0.454788,
-0.460225,
-0.471049,
-0.481964,
-0.669016,
-3.4437
],
[
4.45175,
0.835198,
0.414771,
0.214876,
7.68133e-09,
-0.10627,
-0.202325,
-0.286714,
-0.354025,
-0.395686,
-0.418591,
-0.433489,
-0.444836,
-0.45432,
-0.462693,
-0.470339,
-0.477477,
-0.484244,
-0.49073,
-0.496995,
-0.503085,
-0.509034,
-0.514871,
-0.52062,
-0.526303,
-0.537571,
-0.548877,
-0.730246,
-3.51199
],
[
4.46284,
0.886959,
0.428424,
0.221396,
1.25088e-08,
-0.110179,
-0.211344,
-0.302543,
-0.380608,
-0.436842,
-0.46913,
-0.488599,
-0.502471,
-0.513567,
-0.52308,
-0.531591,
-0.539421,
-0.54676,
-0.553732,
-0.56042,
-0.566884,
-0.573168,
-0.579306,
-0.585329,
-0.591264,
-0.602973,
-0.614656,
-0.794179,
-3.57963
],
[
4.49467,
1.01606,
0.45105,
0.232163,
4.31655e-08,
-0.116663,
-0.226834,
-0.33013,
-0.425841,
-0.511951,
-0.582438,
-0.629939,
-0.659105,
-0.67882,
-0.693794,
-0.706119,
-0.716806,
-0.726399,
-0.735215,
-0.743457,
-0.751258,
-0.758712,
-0.765889,
-0.77284,
-0.77961,
-0.792753,
-0.805604,
-0.986955,
-3.77739
],
[
4.53504,
1.09312,
0.457462,
0.2345,
1.70245e-07,
-0.118432,
-0.232642,
-0.342475,
-0.447757,
-0.548251,
-0.643521,
-0.732514,
-0.812333,
-0.876944,
-0.922537,
-0.953602,
-0.97621,
-0.993984,
-1.0088,
-1.02168,
-1.03321,
-1.04376,
-1.05355,
-1.06277,
-1.07152,
-1.08795,
-1.10338,
-1.28908,
-4.08143
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000676723,
"miller_cap_rise": 0.000547287,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00708038
],
"index_3": [
0.0150459,
0.0225523,
0.0305481,
0.0390133,
0.0504918
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0212411
],
"index_3": [
0.0212376,
0.0413737,
0.0627363,
0.0855956,
0.1159615
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00708038
],
"index_3": [
0.0630456,
0.0780013,
0.0892747,
0.0992472,
0.110755
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0212411
],
"index_3": [
0.0757586,
0.101445,
0.1227788,
0.1460848,
0.1772614
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00343017
],
"index_3": [
0.0190324,
0.0278253,
0.0374301,
0.0487709,
0.0685376
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0102905
],
"index_3": [
0.0258624,
0.0474761,
0.0712401,
0.0991742,
0.1451441
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00343017
],
"index_3": [
0.0777035,
0.0929392,
0.1039244,
0.1152041,
0.1348792
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0102905
],
"index_3": [
0.0889216,
0.1131307,
0.1365966,
0.1645837,
0.2106704
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.933283
],
"index_2": [
0.835012
],
"index_3": [
0.00708038
],
"index_4": [
0.4092793,
0.4377235,
0.4885672,
0.5453261,
0.5880807
],
"values": [
1.59456,
1.3813,
1.23913,
1.3813,
1.59456
]
},
{
"index_1": [
1.05115
],
"index_2": [
0.447462
],
"index_3": [
0.00708038
],
"index_4": [
0.2209484,
0.2429817,
0.2756819,
0.3287954,
0.3633773
],
"values": [
1.50816,
1.24306,
1.06633,
1.24306,
1.50816
]
},
{
"index_1": [
1.17265
],
"index_2": [
0.283331
],
"index_3": [
0.00708038
],
"index_4": [
0.1418006,
0.1594433,
0.1909665,
0.2281836,
0.2568754
],
"values": [
1.46659,
1.17654,
0.983175,
1.17654,
1.46659
]
},
{
"index_1": [
0.933283
],
"index_2": [
0.417506
],
"index_3": [
0.00708038
],
"index_4": [
0.2082161,
0.2233977,
0.2490947,
0.2846951,
0.3134844
],
"values": [
1.74697,
1.62516,
1.54395,
1.62516,
1.74697
]
},
{
"index_1": [
1.17265
],
"index_2": [
0.141665
],
"index_3": [
0.00708038
],
"index_4": [
0.0742284,
0.0834078,
0.0981956,
0.1214797,
0.1423167
],
"values": [
1.69513,
1.5422,
1.44025,
1.5422,
1.69513
]
},
{
"index_1": [
1.05115
],
"index_2": [
0.447462
],
"index_3": [
0.0212411
],
"index_4": [
0.2243277,
0.2481127,
0.2859223,
0.3474411,
0.401944
],
"values": [
1.7581,
1.64296,
1.56621,
1.64296,
1.7581
]
},
{
"index_1": [
1.17265
],
"index_2": [
0.283331
],
"index_3": [
0.0212411
],
"index_4": [
0.1440678,
0.1632626,
0.1973842,
0.2426438,
0.2886688
],
"values": [
1.74803,
1.62684,
1.54605,
1.62684,
1.74803
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.18496
],
"index_2": [
1.08117
],
"index_3": [
0.00343017
],
"index_4": [
0.5302015,
0.5519887,
0.578115,
0.6181468,
0.6401962
],
"values": [
0.218729,
0.349966,
0.437458,
0.349966,
0.218729
]
},
{
"index_1": [
1.30026
],
"index_2": [
0.632129
],
"index_3": [
0.00343017
],
"index_4": [
0.3121255,
0.3320553,
0.3631048,
0.3927265,
0.4123945
],
"values": [
0.371656,
0.59465,
0.743312,
0.59465,
0.371656
]
},
{
"index_1": [
1.40412
],
"index_2": [
0.428598
],
"index_3": [
0.00343017
],
"index_4": [
0.213023,
0.231098,
0.2620005,
0.2848766,
0.3015449
],
"values": [
0.452317,
0.723708,
0.904635,
0.723708,
0.452317
]
},
{
"index_1": [
1.18496
],
"index_2": [
0.540584
],
"index_3": [
0.00343017
],
"index_4": [
0.2680148,
0.2799727,
0.2986015,
0.3168608,
0.3298623
],
"values": [
0.135036,
0.216058,
0.270073,
0.216058,
0.135036
]
},
{
"index_1": [
1.40412
],
"index_2": [
0.214299
],
"index_3": [
0.00343017
],
"index_4": [
0.1098945,
0.1190846,
0.1314242,
0.1479101,
0.15693
],
"values": [
0.247697,
0.396315,
0.495394,
0.396315,
0.247697
]
},
{
"index_1": [
1.30026
],
"index_2": [
0.632129
],
"index_3": [
0.0102905
],
"index_4": [
0.3133387,
0.3361946,
0.3738417,
0.4052004,
0.4272135
],
"values": [
0.182341,
0.291746,
0.364682,
0.291746,
0.182341
]
},
{
"index_1": [
1.40412
],
"index_2": [
0.428598
],
"index_3": [
0.0102905
],
"index_4": [
0.2150133,
0.2348894,
0.2618179,
0.2943603,
0.3132966
],
"values": [
0.21133,
0.338127,
0.422659,
0.338127,
0.21133
]
}
]
},
"stage_type": "both"
},
"cell_fall del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00137481,
0.0037802,
0.0103941,
0.0285798,
0.0785834,
0.216074
],
"values": [
[
0.0664733,
0.0712641,
0.0814471,
0.1021466,
0.1474747,
0.2610123,
0.5712384
],
[
0.0713475,
0.0761827,
0.0863718,
0.1070989,
0.1524309,
0.265993,
0.5763064
],
[
0.0838514,
0.0886188,
0.0988278,
0.1195276,
0.1648943,
0.2784619,
0.5888578
],
[
0.1145594,
0.1193242,
0.1295284,
0.150323,
0.1957247,
0.3092998,
0.6196682
],
[
0.1709523,
0.1767886,
0.1891433,
0.2121252,
0.2593685,
0.3730172,
0.6834298
],
[
0.2656463,
0.2727354,
0.2881043,
0.3172621,
0.3695883,
0.4847885,
0.7950213
],
[
0.4393978,
0.4478404,
0.4662318,
0.502145,
0.5648919,
0.6839391,
0.9936969
]
]
},
"cell_rise del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00137481,
0.0037802,
0.0103941,
0.0285798,
0.0785834,
0.216074
],
"values": [
[
0.0599191,
0.0653927,
0.0784269,
0.1107497,
0.1973738,
0.4361383,
1.0917007
],
[
0.0627673,
0.0682804,
0.0813048,
0.1136477,
0.2003963,
0.4384628,
1.0972612
],
[
0.0689282,
0.0744103,
0.0873924,
0.1198316,
0.2067941,
0.4456751,
1.1037528
],
[
0.0787689,
0.084472,
0.0978474,
0.1306956,
0.2178961,
0.4568496,
1.117233
],
[
0.0857388,
0.0924165,
0.1068299,
0.1404338,
0.2282095,
0.466355,
1.123682
],
[
0.0726503,
0.0808892,
0.0981815,
0.1339332,
0.221731,
0.4605316,
1.1175441
],
[
0.0016931,
0.0118809,
0.0339033,
0.0762272,
0.1660545,
0.4043228,
1.0610544
]
]
},
"fall_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00137481,
0.0037802,
0.0103941,
0.0285798,
0.0785834,
0.216074
],
"values": [
[
0.0159905,
0.0195408,
0.0274652,
0.0461864,
0.0959367,
0.239827,
0.6464789
],
[
0.0160285,
0.0196667,
0.0274889,
0.0460813,
0.0956845,
0.2395501,
0.6479126
],
[
0.0161659,
0.0195137,
0.027381,
0.0461029,
0.0957174,
0.2395034,
0.6468825
],
[
0.0164417,
0.020059,
0.0275486,
0.0462167,
0.0957811,
0.2395705,
0.6467413
],
[
0.0220611,
0.0258817,
0.0342154,
0.0517267,
0.0979677,
0.2401504,
0.6478086
],
[
0.0300456,
0.0348737,
0.0449894,
0.0640971,
0.1070023,
0.24274,
0.6527827
],
[
0.040808,
0.0465191,
0.0589192,
0.0828862,
0.1250895,
0.2490776,
0.6484239
]
]
},
"related_pin": "RESET_B",
"rise_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00137481,
0.0037802,
0.0103941,
0.0285798,
0.0785834,
0.216074
],
"values": [
[
0.0179987,
0.0235555,
0.0387339,
0.0832609,
0.2073157,
0.5516459,
1.4989178
],
[
0.0179401,
0.0235219,
0.0389117,
0.0832292,
0.2068622,
0.5508329,
1.5030698
],
[
0.0179418,
0.0235514,
0.0387464,
0.0832465,
0.2073442,
0.5522346,
1.5013051
],
[
0.0193009,
0.0248811,
0.039759,
0.0836322,
0.207122,
0.5521216,
1.5103213
],
[
0.023204,
0.0287685,
0.0426714,
0.0851463,
0.2075389,
0.5509964,
1.5016171
],
[
0.0297299,
0.0363167,
0.0500855,
0.0892252,
0.2091153,
0.5502272,
1.5046087
],
[
0.039501,
0.0476414,
0.0639283,
0.1014464,
0.2125899,
0.5527604,
1.4930098
]
]
},
"timing_sense": "positive_unate",
"timing_type": "clear"
}
]
},
"pin Q_N": {
"ccsn_last_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
4.85384,
0.799037,
0.61645,
0.592393,
0.563109,
0.546435,
0.528446,
0.509162,
0.488597,
0.466764,
0.443677,
0.419353,
0.393808,
0.36706,
0.339131,
0.31004,
0.279811,
0.248467,
0.216032,
0.182532,
0.147994,
0.112444,
0.0759098,
0.0384191,
-1.05145e-08,
-0.0755219,
-0.147363,
-0.381072,
-2.90219
],
[
4.66595,
0.631741,
0.471728,
0.460029,
0.447021,
0.439823,
0.43199,
0.423324,
0.413543,
0.402277,
0.389154,
0.373984,
0.356765,
0.33753,
0.316301,
0.293095,
0.267933,
0.240837,
0.211836,
0.180965,
0.14826,
0.113763,
0.0775216,
0.0395832,
-1.88739e-09,
-0.0787904,
-0.15425,
-0.39911,
-2.90644
],
[
4.52861,
0.499152,
0.341052,
0.333064,
0.324581,
0.320095,
0.315403,
0.310456,
0.305191,
0.299517,
0.293302,
0.286346,
0.278336,
0.268801,
0.257178,
0.24309,
0.226418,
0.207137,
0.185238,
0.160728,
0.133625,
0.103956,
0.0717615,
0.03709,
-2.12141e-09,
-0.0756526,
-0.149709,
-0.393956,
-2.90577
],
[
4.4867,
0.474967,
0.292113,
0.285123,
0.277784,
0.273946,
0.269966,
0.265817,
0.261459,
0.25684,
0.251886,
0.246487,
0.240476,
0.233588,
0.225389,
0.215265,
0.202653,
0.187289,
0.169089,
0.148023,
0.124083,
0.0972795,
0.0676367,
0.0351932,
-1.9373e-09,
-0.0728873,
-0.145292,
-0.387637,
-2.90481
],
[
4.44302,
0.466224,
0.241573,
0.2355,
0.229199,
0.225936,
0.22258,
0.219114,
0.215514,
0.211751,
0.207782,
0.20355,
0.198969,
0.193907,
0.188149,
0.181328,
0.172839,
0.161948,
0.148175,
0.13134,
0.111373,
0.0882491,
0.0619652,
0.0325374,
-2.01549e-09,
-0.0688867,
-0.138796,
-0.378084,
-2.90333
],
[
4.42077,
0.46364,
0.216148,
0.210383,
0.204571,
0.201575,
0.198505,
0.195348,
0.192087,
0.188698,
0.18515,
0.181403,
0.177394,
0.173032,
0.168172,
0.16257,
0.155785,
0.147103,
0.135757,
0.121329,
0.103671,
0.0827208,
0.058456,
0.0308757,
-2.03039e-09,
-0.0663389,
-0.134628,
-0.371892,
-2.90235
],
[
4.39851,
0.461251,
0.191943,
0.185285,
0.179945,
0.177206,
0.174409,
0.171545,
0.1686,
0.165558,
0.162396,
0.159084,
0.155578,
0.151817,
0.147703,
0.143077,
0.137656,
0.130909,
0.12199,
0.110133,
0.0949949,
0.0764517,
0.0544491,
0.0289646,
-6.07644e-09,
-0.0633789,
-0.129767,
-0.364647,
-2.9012
],
[
4.37644,
0.459922,
0.173048,
0.160429,
0.155531,
0.153039,
0.150505,
0.147919,
0.145274,
0.142556,
0.13975,
0.136833,
0.133777,
0.130538,
0.127054,
0.123222,
0.118869,
0.113662,
0.106944,
0.0977294,
0.0853048,
0.0694003,
0.0499109,
0.0267847,
-9.70221e-08,
-0.0599709,
-0.124151,
-0.356269,
-2.89985
],
[
4.3548,
0.459801,
0.163951,
0.136304,
0.131572,
0.129321,
0.12704,
0.124722,
0.122362,
0.11995,
0.117475,
0.114922,
0.112272,
0.109496,
0.106552,
0.103378,
0.0998687,
0.0958338,
0.0908776,
0.0841596,
0.0745809,
0.0615347,
0.0448114,
0.0243165,
-2.17086e-06,
-0.0560828,
-0.117724,
-0.346689,
-2.89829
],
[
4.33385,
0.461155,
0.163074,
0.114853,
0.108353,
0.106336,
0.1043,
0.10224,
0.100152,
0.0980299,
0.0958656,
0.0936496,
0.0913686,
0.0890047,
0.0865319,
0.0839119,
0.0810835,
0.0779415,
0.0742779,
0.0695997,
0.0628206,
0.0528102,
0.0390957,
0.0215075,
-4.67543e-05,
-0.0517285,
-0.110482,
-0.33592,
-2.89661
],
[
4.31388,
0.464232,
0.166706,
0.100966,
0.0862073,
0.084355,
0.0825306,
0.0806933,
0.0788393,
0.0769647,
0.0750648,
0.0731334,
0.0711624,
0.0691408,
0.0670532,
0.0648773,
0.0625789,
0.0601019,
0.0573436,
0.0540754,
0.0496474,
0.0426914,
0.0321662,
0.0177209,
-0.000795875,
-0.0476141,
-0.103179,
-0.324966,
-2.89634
],
[
4.29523,
0.469313,
0.173057,
0.096965,
0.065517,
0.0631432,
0.0612575,
0.0593797,
0.0574958,
0.055602,
0.0536952,
0.0517718,
0.0498275,
0.0478563,
0.0458497,
0.043796,
0.0416775,
0.0394661,
0.0371141,
0.0345255,
0.0314432,
0.0269688,
0.0194977,
0.00817896,
-0.00725691,
-0.0491902,
-0.101713,
-0.321234,
-2.90786
],
[
4.27828,
0.476707,
0.181606,
0.0989824,
0.0467233,
0.0403197,
0.037433,
0.0348028,
0.0322256,
0.0296689,
0.027122,
0.0245793,
0.0220372,
0.0194919,
0.016939,
0.0143727,
0.0117849,
0.00916297,
0.00648658,
0.00371867,
0.000775525,
-0.0026455,
-0.00779434,
-0.0163653,
-0.0289876,
-0.0665856,
-0.116773,
-0.337299,
-2.94728
],
[
4.26346,
0.486772,
0.192335,
0.103717,
0.0303349,
0.0135133,
0.00740229,
0.00336474,
-0.000282248,
-0.00378257,
-0.00720562,
-0.0105795,
-0.0139184,
-0.0172304,
-0.0205213,
-0.0237958,
-0.0270586,
-0.0303153,
-0.033574,
-0.0368477,
-0.0401611,
-0.0435812,
-0.0474827,
-0.0535286,
-0.0632871,
-0.0963272,
-0.144096,
-0.366818,
-3.00361
],
[
4.25124,
0.499902,
0.205461,
0.109896,
0.0169552,
-0.0126154,
-0.0255649,
-0.0317275,
-0.0365027,
-0.0408255,
-0.0449318,
-0.0489102,
-0.052802,
-0.0566296,
-0.0604063,
-0.0641408,
-0.0678391,
-0.0715062,
-0.0751467,
-0.0787658,
-0.082371,
-0.0859764,
-0.0896304,
-0.0938295,
-0.100582,
-0.128096,
-0.172563,
-0.396587,
-3.05806
],
[
4.24215,
0.516669,
0.221371,
0.117513,
0.0073206,
-0.0338856,
-0.0561616,
-0.0656399,
-0.0717145,
-0.0767883,
-0.0814226,
-0.0858132,
-0.0900479,
-0.0941729,
-0.098215,
-0.102191,
-0.106114,
-0.10999,
-0.113827,
-0.11763,
-0.121405,
-0.125158,
-0.128899,
-0.13267,
-0.137274,
-0.158472,
-0.198743,
-0.423267,
-3.1059
],
[
4.23684,
0.537682,
0.240481,
0.127169,
0.00206458,
-0.0491092,
-0.0813765,
-0.0958034,
-0.103595,
-0.109521,
-0.114694,
-0.119475,
-0.124019,
-0.128406,
-0.132682,
-0.136875,
-0.141003,
-0.145082,
-0.149119,
-0.153124,
-0.157103,
-0.161063,
-0.165011,
-0.168956,
-0.173052,
-0.188081,
-0.223298,
-0.447893,
-3.1487
],
[
4.23587,
0.563109,
0.262166,
0.13852,
0.000384742,
-0.0593585,
-0.101227,
-0.122377,
-0.132637,
-0.139729,
-0.145628,
-0.15094,
-0.155911,
-0.160665,
-0.165269,
-0.169765,
-0.174179,
-0.17853,
-0.182832,
-0.187095,
-0.191328,
-0.195538,
-0.199734,
-0.203923,
-0.208141,
-0.218961,
-0.247697,
-0.471701,
-3.1883
],
[
4.23896,
0.591258,
0.283976,
0.149644,
6.74311e-05,
-0.0670638,
-0.117629,
-0.146798,
-0.160457,
-0.169053,
-0.175842,
-0.181782,
-0.187244,
-0.192407,
-0.197367,
-0.202182,
-0.206889,
-0.211513,
-0.216073,
-0.220581,
-0.225049,
-0.229487,
-0.233904,
-0.238309,
-0.242718,
-0.252064,
-0.273239,
-0.495467,
-3.22588
],
[
4.24397,
0.620047,
0.304143,
0.159517,
1.24681e-05,
-0.0734451,
-0.131641,
-0.169347,
-0.187477,
-0.197942,
-0.20576,
-0.212388,
-0.218367,
-0.223948,
-0.229262,
-0.234387,
-0.239373,
-0.244252,
-0.249049,
-0.25378,
-0.258458,
-0.263097,
-0.267707,
-0.272299,
-0.276885,
-0.286176,
-0.300957,
-0.519628,
-3.26211
],
[
4.24939,
0.64874,
0.322136,
0.168093,
2.25916e-06,
-0.0788717,
-0.143695,
-0.189755,
-0.213527,
-0.226334,
-0.235351,
-0.242739,
-0.249268,
-0.255279,
-0.260949,
-0.26638,
-0.271636,
-0.276759,
-0.281778,
-0.286715,
-0.291587,
-0.296409,
-0.301192,
-0.30595,
-0.310695,
-0.320224,
-0.331482,
-0.544422,
-3.2974
],
[
4.25486,
0.677146,
0.337873,
0.175522,
3.4193e-07,
-0.0835209,
-0.154088,
-0.207834,
-0.238318,
-0.254087,
-0.264528,
-0.272772,
-0.279895,
-0.286358,
-0.292394,
-0.298133,
-0.303656,
-0.309017,
-0.314252,
-0.319386,
-0.324441,
-0.329434,
-0.334378,
-0.339288,
-0.344179,
-0.353971,
-0.364214,
-0.569976,
-3.33199
],
[
4.26031,
0.705171,
0.351471,
0.181959,
3.37034e-08,
-0.0875177,
-0.163066,
-0.223608,
-0.261531,
-0.281041,
-0.2932,
-0.302423,
-0.3102,
-0.317147,
-0.323565,
-0.32962,
-0.335415,
-0.341013,
-0.34646,
-0.351787,
-0.35702,
-0.362176,
-0.367273,
-0.372328,
-0.377356,
-0.387399,
-0.397618,
-0.596356,
-3.36608
],
[
4.26572,
0.732738,
0.363154,
0.18754,
5.32926e-09,
-0.0909606,
-0.170835,
-0.237269,
-0.282852,
-0.307016,
-0.321276,
-0.331635,
-0.340142,
-0.347613,
-0.354436,
-0.360822,
-0.366894,
-0.372734,
-0.378394,
-0.383914,
-0.389321,
-0.394638,
-0.399884,
-0.405078,
-0.410236,
-0.420519,
-0.43091,
-0.62359,
-3.39977
],
[
4.2711,
0.759765,
0.373179,
0.192379,
4.77329e-09,
-0.0939304,
-0.177566,
-0.249073,
-0.30203,
-0.331807,
-0.348655,
-0.36035,
-0.369682,
-0.377729,
-0.384987,
-0.391721,
-0.398083,
-0.40417,
-0.410048,
-0.415761,
-0.421343,
-0.42682,
-0.432214,
-0.437543,
-0.442828,
-0.453343,
-0.463932,
-0.651686,
-3.43315
],
[
4.28171,
0.811835,
0.38919,
0.200204,
7.67842e-09,
-0.0987067,
-0.188468,
-0.268118,
-0.333667,
-0.376864,
-0.400847,
-0.416046,
-0.427409,
-0.436807,
-0.445052,
-0.452554,
-0.459542,
-0.466157,
-0.47249,
-0.478605,
-0.484545,
-0.490346,
-0.496036,
-0.501637,
-0.507173,
-0.518137,
-0.529119,
-0.710404,
-3.49927
],
[
4.29211,
0.860528,
0.401038,
0.206048,
1.25062e-08,
-0.102259,
-0.19667,
-0.282434,
-0.357273,
-0.414273,
-0.448583,
-0.468918,
-0.483046,
-0.494168,
-0.503613,
-0.512015,
-0.519716,
-0.526918,
-0.533748,
-0.540293,
-0.546614,
-0.552754,
-0.55875,
-0.56463,
-0.57042,
-0.581832,
-0.593195,
-0.772081,
-3.5648
],
[
4.32147,
0.973931,
0.420608,
0.215629,
4.31643e-08,
-0.108129,
-0.210682,
-0.307301,
-0.397423,
-0.479659,
-0.549822,
-0.60047,
-0.632127,
-0.652983,
-0.668436,
-0.680945,
-0.691677,
-0.70124,
-0.709988,
-0.718138,
-0.725834,
-0.733175,
-0.740233,
-0.747061,
-0.753704,
-0.76658,
-0.779136,
-0.959624,
-3.75684
],
[
4.35355,
1.02577,
0.425717,
0.21761,
1.70245e-07,
-0.109738,
-0.215895,
-0.318318,
-0.416843,
-0.511262,
-0.60125,
-0.686121,
-0.764087,
-0.830797,
-0.880891,
-0.915366,
-0.939867,
-0.95865,
-0.974018,
-0.987196,
-0.998881,
-1.00949,
-1.0193,
-1.0285,
-1.0372,
-1.05349,
-1.06872,
-1.25378,
-4.05246
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000728946,
"miller_cap_rise": 0.000570818,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00681275
],
"index_3": [
0.0143856,
0.0218208,
0.0296383,
0.0381377,
0.0496513
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0204382
],
"index_3": [
0.0205857,
0.0407148,
0.0620603,
0.0852807,
0.1159334
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00681275
],
"index_3": [
0.0627545,
0.0775738,
0.0887959,
0.0986562,
0.1102836
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0204382
],
"index_3": [
0.0755823,
0.1010155,
0.1222846,
0.1459037,
0.1766048
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00352614
],
"index_3": [
0.0178553,
0.0262791,
0.0354795,
0.0466056,
0.0657997
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0105784
],
"index_3": [
0.0246174,
0.0459446,
0.0690732,
0.0966627,
0.1424714
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00352614
],
"index_3": [
0.0754244,
0.090624,
0.1016806,
0.1125315,
0.131925
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0105784
],
"index_3": [
0.087614,
0.11158,
0.134612,
0.1619155,
0.2078311
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.943586
],
"index_2": [
0.80983
],
"index_3": [
0.00681275
],
"index_4": [
0.3958862,
0.4225212,
0.472636,
0.5226058,
0.561481
],
"values": [
1.61557,
1.41492,
1.28114,
1.41492,
1.61557
]
},
{
"index_1": [
1.06074
],
"index_2": [
0.434518
],
"index_3": [
0.00681275
],
"index_4": [
0.2139725,
0.2345897,
0.2673499,
0.3143681,
0.3467292
],
"values": [
1.52712,
1.27339,
1.10423,
1.27339,
1.52712
]
},
{
"index_1": [
1.18133
],
"index_2": [
0.275498
],
"index_3": [
0.00681275
],
"index_4": [
0.1373874,
0.1544399,
0.1809535,
0.219025,
0.2456356
],
"values": [
1.47913,
1.19661,
1.00827,
1.19661,
1.47913
]
},
{
"index_1": [
0.943586
],
"index_2": [
0.404915
],
"index_3": [
0.00681275
],
"index_4": [
0.2010881,
0.2154856,
0.2447661,
0.2719511,
0.2995764
],
"values": [
1.75869,
1.6439,
1.56738,
1.6439,
1.75869
]
},
{
"index_1": [
1.18133
],
"index_2": [
0.137749
],
"index_3": [
0.00681275
],
"index_4": [
0.0710519,
0.0796928,
0.0947635,
0.116179,
0.136202
],
"values": [
1.70091,
1.55146,
1.45183,
1.55146,
1.70091
]
},
{
"index_1": [
1.06074
],
"index_2": [
0.434518
],
"index_3": [
0.0204382
],
"index_4": [
0.216457,
0.239193,
0.2773961,
0.3310228,
0.3836235
],
"values": [
1.76696,
1.65713,
1.58391,
1.65713,
1.76696
]
},
{
"index_1": [
1.18133
],
"index_2": [
0.275498
],
"index_3": [
0.0204382
],
"index_4": [
0.1391971,
0.1570588,
0.1912798,
0.2324788,
0.2769601
],
"values": [
1.75719,
1.6415,
1.56437,
1.6415,
1.75719
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.16474
],
"index_2": [
0.975948
],
"index_3": [
0.00352614
],
"index_4": [
0.4784202,
0.4981483,
0.523322,
0.559761,
0.5808387
],
"values": [
0.211075,
0.337721,
0.422151,
0.337721,
0.211075
]
},
{
"index_1": [
1.28278
],
"index_2": [
0.570407
],
"index_3": [
0.00352614
],
"index_4": [
0.2812183,
0.2995249,
0.3284276,
0.3564056,
0.3746677
],
"values": [
0.360844,
0.577351,
0.721689,
0.577351,
0.360844
]
},
{
"index_1": [
1.38909
],
"index_2": [
0.388209
],
"index_3": [
0.00352614
],
"index_4": [
0.1926701,
0.2094796,
0.2335343,
0.259785,
0.2755807
],
"values": [
0.441982,
0.707172,
0.883965,
0.707172,
0.441982
]
},
{
"index_1": [
1.16474
],
"index_2": [
0.487974
],
"index_3": [
0.00352614
],
"index_4": [
0.2406729,
0.2520579,
0.2659493,
0.2869998,
0.2996651
],
"values": [
0.129687,
0.207499,
0.259374,
0.207499,
0.129687
]
},
{
"index_1": [
1.38909
],
"index_2": [
0.194105
],
"index_3": [
0.00352614
],
"index_4": [
0.0991906,
0.1080826,
0.1225578,
0.1346987,
0.1439375
],
"values": [
0.241544,
0.386471,
0.483089,
0.386471,
0.241544
]
},
{
"index_1": [
1.28278
],
"index_2": [
0.570407
],
"index_3": [
0.0105784
],
"index_4": [
0.2817714,
0.3032532,
0.335776,
0.3685881,
0.3899601
],
"values": [
0.173568,
0.277709,
0.347136,
0.277709,
0.173568
]
},
{
"index_1": [
1.38909
],
"index_2": [
0.388209
],
"index_3": [
0.0105784
],
"index_4": [
0.1942627,
0.2125464,
0.2400675,
0.268378,
0.2867158
],
"values": [
0.202318,
0.323709,
0.404637,
0.323709,
0.202318
]
}
]
},
"stage_type": "both"
},
"direction": "output",
"function": "IQ_N",
"internal_power": [
{
"fall_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.001381522,
0.003817206,
0.01054711,
0.02914213,
0.080521,
0.2224831
],
"values": [
[
-0.0024958,
0.0014455,
0.0087826,
0.0147421,
-0.001824,
-0.087377,
-0.3516255
],
[
-0.0024435,
0.0014728,
0.0087483,
0.014623,
-0.0020767,
-0.0877298,
-0.3520308
],
[
-0.0022882,
0.0016253,
0.0089018,
0.0147602,
-0.0019525,
-0.0876357,
-0.3519116
],
[
-0.0024828,
0.0014204,
0.0086955,
0.014541,
-0.0021928,
-0.0878546,
-0.3521348
],
[
-0.0029143,
0.00103,
0.0083621,
0.0143298,
-0.0022638,
-0.0878345,
-0.3520708
],
[
-0.003861,
0.0002397,
0.0079092,
0.0143835,
-0.0017996,
-0.0871708,
-0.3512673
],
[
-0.0060217,
-0.0015341,
0.0069734,
0.0147283,
-0.0001299,
-0.0846801,
-0.348491
]
]
},
"related_pin": "D",
"rise_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.001381522,
0.003817206,
0.01054711,
0.02914213,
0.080521,
0.2224831
],
"values": [
[
-0.0091313,
-0.006875,
-0.0009411,
0.0138213,
0.050958,
0.1486593,
0.4174589
],
[
-0.0090878,
-0.0068487,
-0.0009537,
0.0137257,
0.0506144,
0.1492524,
0.4157339
],
[
-0.0089672,
-0.0067341,
-0.0008509,
0.0138227,
0.0507541,
0.1483187,
0.4174336
],
[
-0.0091887,
-0.0069394,
-0.0010282,
0.013721,
0.0507497,
0.1485281,
0.4175708
],
[
-0.0096937,
-0.0074049,
-0.0014005,
0.013445,
0.0505073,
0.1490041,
0.4155408
],
[
-0.0108265,
-0.0083867,
-0.0021307,
0.013235,
0.0507092,
0.1485314,
0.4181879
],
[
-0.0133873,
-0.0105313,
-0.0033913,
0.0133071,
0.0522318,
0.1517871,
0.4183235
]
]
}
},
{
"fall_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.001381522,
0.003817206,
0.01054711,
0.02914213,
0.080521,
0.2224831
],
"values": [
[
-0.006617,
-0.0015157,
0.0082805,
0.0180975,
0.0054154,
-0.0777121,
-0.3407939
],
[
-0.006573,
-0.0014874,
0.0082741,
0.0180418,
0.0052522,
-0.0779432,
-0.3410525
],
[
-0.0064443,
-0.0013737,
0.0083558,
0.0180644,
0.0052184,
-0.0779703,
-0.3410768
],
[
-0.0066523,
-0.0015752,
0.0081719,
0.0179226,
0.005092,
-0.0780693,
-0.3411804
],
[
-0.007125,
-0.0020138,
0.0078064,
0.0176626,
0.0049795,
-0.0780997,
-0.3411666
],
[
-0.0081667,
-0.0029154,
0.0071921,
0.0174894,
0.0052912,
-0.0775103,
-0.3404552
],
[
-0.0105114,
-0.0048349,
0.0061906,
0.017901,
0.0070141,
-0.0748647,
-0.3372852
]
]
},
"related_pin": "GATE_N",
"rise_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.001381522,
0.003817206,
0.01054711,
0.02914213,
0.080521,
0.2224831
],
"values": [
[
-0.0082579,
-0.0053314,
0.0020137,
0.019,
0.058439,
0.158083,
0.4268541
],
[
-0.0082102,
-0.0053008,
0.0020055,
0.018935,
0.0580011,
0.1570166,
0.4272858
],
[
-0.0080838,
-0.0051845,
0.0021076,
0.0189673,
0.0580204,
0.1579387,
0.4261236
],
[
-0.0082971,
-0.0054007,
0.0018846,
0.018784,
0.0580425,
0.157739,
0.4255118
],
[
-0.0087693,
-0.0058414,
0.0015106,
0.0185299,
0.0575869,
0.15677,
0.4266622
],
[
-0.009811,
-0.0067375,
0.0008466,
0.0183885,
0.0579256,
0.1581877,
0.4260578
],
[
-0.0121118,
-0.0085512,
9.56e-05,
0.0190881,
0.060179,
0.1613839,
0.4283477
]
]
}
},
{
"fall_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.001381522,
0.003817206,
0.01054711,
0.02914213,
0.080521,
0.2224831
],
"values": [
[
0.0044293,
0.0073556,
0.0125435,
0.0151328,
-0.0048374,
-0.0926034,
-0.3578855
],
[
0.0044469,
0.0073631,
0.0125367,
0.0150911,
-0.0049333,
-0.0926962,
-0.3580282
],
[
0.0044962,
0.0073989,
0.012522,
0.0150293,
-0.0050412,
-0.09284,
-0.3581296
],
[
0.0044808,
0.0073557,
0.0124213,
0.0148502,
-0.0053076,
-0.0931672,
-0.3584966
],
[
0.004506,
0.0074131,
0.0125341,
0.0150442,
-0.0049913,
-0.0927668,
-0.3580606
],
[
0.0046146,
0.0076723,
0.0131141,
0.0161497,
-0.0034466,
-0.0912104,
-0.3563516
],
[
0.00489,
0.0083515,
0.0146495,
0.0189379,
0.0008326,
-0.0859569,
-0.3508433
]
]
},
"related_pin": "RESET_B",
"rise_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.001381522,
0.003817206,
0.01054711,
0.02914213,
0.080521,
0.2224831
],
"values": [
[
-0.0018077,
-0.0005934,
0.0030942,
0.0143219,
0.0479451,
0.1432365,
0.4083615
],
[
-0.0018421,
-0.0006533,
0.0029763,
0.0141231,
0.0474725,
0.1437048,
0.4099937
],
[
-0.0018995,
-0.0007261,
0.0028729,
0.0139632,
0.0474639,
0.1427511,
0.407079
],
[
-0.0018956,
-0.0007186,
0.002888,
0.0139876,
0.0472936,
0.142796,
0.4112222
],
[
-0.001864,
-0.0006226,
0.0030993,
0.0143936,
0.0479035,
0.1433873,
0.4099866
],
[
-0.0017659,
-0.0003565,
0.0037016,
0.0156568,
0.0498981,
0.1457286,
0.4137584
],
[
-0.0013893,
0.0006628,
0.0060722,
0.019996,
0.056103,
0.1540533,
0.4193073
]
]
}
}
],
"max_capacitance": 0.222483,
"max_transition": 1.506306,
"output_voltage": "GENERAL",
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"cell_fall del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138152,
0.00381721,
0.0105471,
0.0291421,
0.080521,
0.222483
],
"values": [
[
0.2300697,
0.2339762,
0.2425069,
0.2610105,
0.3055572,
0.4260369,
0.7592225
],
[
0.2339438,
0.2378501,
0.2463603,
0.2648899,
0.3094243,
0.4299086,
0.7637077
],
[
0.2417721,
0.2456744,
0.2541892,
0.2726941,
0.31726,
0.4377807,
0.771612
],
[
0.250228,
0.2541363,
0.2626675,
0.2811421,
0.3257062,
0.4462098,
0.7794952
],
[
0.2538235,
0.2577395,
0.2662971,
0.2847712,
0.3292801,
0.4498339,
0.7838343
],
[
0.2432447,
0.2471708,
0.2556448,
0.2741698,
0.3187447,
0.4392789,
0.772962
],
[
0.1915021,
0.1954089,
0.2039331,
0.2224343,
0.2669878,
0.3874778,
0.7206614
]
]
},
"cell_rise del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138152,
0.00381721,
0.0105471,
0.0291421,
0.080521,
0.222483
],
"values": [
[
0.1824802,
0.1872758,
0.1992027,
0.23054,
0.3165987,
0.5549124,
1.2125227
],
[
0.187186,
0.1919713,
0.2038947,
0.2352375,
0.3213466,
0.5587339,
1.2175206
],
[
0.1993163,
0.204099,
0.2160604,
0.2473728,
0.3335615,
0.5719816,
1.2296982
],
[
0.224401,
0.2292159,
0.2411365,
0.2725149,
0.3586566,
0.5969306,
1.2553314
],
[
0.2648425,
0.2696097,
0.2815433,
0.3128586,
0.398991,
0.6374283,
1.2955758
],
[
0.3332474,
0.3380058,
0.3499228,
0.3813533,
0.4673077,
0.7047274,
1.363469
],
[
0.4600931,
0.4649117,
0.4768313,
0.5082447,
0.5943212,
0.8322079,
1.4883105
]
]
},
"fall_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138152,
0.00381721,
0.0105471,
0.0291421,
0.080521,
0.222483
],
"values": [
[
0.0121495,
0.0152758,
0.0226534,
0.0416964,
0.0964507,
0.2550731,
0.7008424
],
[
0.0121939,
0.0151529,
0.0225107,
0.041706,
0.0969964,
0.255865,
0.6965515
],
[
0.012179,
0.0152347,
0.0226049,
0.0415829,
0.0969448,
0.2559626,
0.701488
],
[
0.012156,
0.0152887,
0.0226238,
0.0416029,
0.0968588,
0.2554257,
0.6995768
],
[
0.012181,
0.015172,
0.0225385,
0.0417399,
0.0966539,
0.2558815,
0.7018586
],
[
0.0122525,
0.0152084,
0.0225401,
0.0416773,
0.0965674,
0.2543675,
0.6995992
],
[
0.0121725,
0.0152682,
0.0226386,
0.0415908,
0.0969598,
0.2552103,
0.6946275
]
]
},
"related_pin": "D",
"rise_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138152,
0.00381721,
0.0105471,
0.0291421,
0.080521,
0.222483
],
"values": [
[
0.014783,
0.0200123,
0.0352584,
0.0794213,
0.2036222,
0.5471316,
1.4998206
],
[
0.0147754,
0.0200116,
0.035197,
0.0794341,
0.2037758,
0.5506254,
1.5020568
],
[
0.0147819,
0.0199994,
0.0352266,
0.07946,
0.203486,
0.5469665,
1.5001599
],
[
0.0147927,
0.0199734,
0.0352408,
0.0793614,
0.2039164,
0.5483375,
1.5032198
],
[
0.0147748,
0.0199756,
0.0351948,
0.0794974,
0.2031775,
0.5485137,
1.4975933
],
[
0.0147608,
0.0199963,
0.0352612,
0.0794814,
0.203243,
0.5477296,
1.5009268
],
[
0.0148555,
0.0199844,
0.0352574,
0.0794169,
0.2032025,
0.5488098,
1.4952116
]
]
},
"timing_sense": "negative_unate",
"timing_type": "combinational"
},
{
"cell_fall del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138152,
0.00381721,
0.0105471,
0.0291421,
0.080521,
0.222483
],
"values": [
[
0.2712816,
0.2751924,
0.2837124,
0.3022154,
0.3467768,
0.4673182,
0.8007335
],
[
0.2756588,
0.2795894,
0.2880741,
0.3066256,
0.3511617,
0.4716844,
0.8052136
],
[
0.2873887,
0.2913111,
0.2997955,
0.3183558,
0.3628687,
0.4833737,
0.8173782
],
[
0.3149913,
0.3189195,
0.3274269,
0.3459727,
0.3905052,
0.5109469,
0.8448976
],
[
0.362393,
0.3662874,
0.3748046,
0.3932973,
0.4378317,
0.5583173,
0.8922539
],
[
0.4387698,
0.4426808,
0.4512118,
0.4697113,
0.5143078,
0.63482,
0.9681311
],
[
0.5737768,
0.5776915,
0.5862103,
0.604723,
0.6492675,
0.7698081,
1.1038253
]
]
},
"cell_rise del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138152,
0.00381721,
0.0105471,
0.0291421,
0.080521,
0.222483
],
"values": [
[
0.1987039,
0.2035048,
0.2153767,
0.2468404,
0.3328504,
0.571114,
1.2299458
],
[
0.2031486,
0.2079538,
0.2198411,
0.2511279,
0.3371327,
0.5756768,
1.2331252
],
[
0.2150021,
0.2198142,
0.2317556,
0.2630346,
0.3492465,
0.5885576,
1.2452163
],
[
0.2422964,
0.2471016,
0.2589844,
0.2904885,
0.3763416,
0.6143223,
1.2721499
],
[
0.292574,
0.297378,
0.3092499,
0.340707,
0.4266767,
0.6655551,
1.3225385
],
[
0.373171,
0.3779731,
0.389842,
0.4212973,
0.5072728,
0.7452122,
1.4041677
],
[
0.5103777,
0.515193,
0.5271404,
0.558415,
0.6446375,
0.8841327,
1.5393509
]
]
},
"fall_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138152,
0.00381721,
0.0105471,
0.0291421,
0.080521,
0.222483
],
"values": [
[
0.012241,
0.0151288,
0.0224853,
0.041673,
0.096531,
0.2555047,
0.6970042
],
[
0.0121557,
0.0151409,
0.0224555,
0.0415177,
0.0968281,
0.2558303,
0.6967988
],
[
0.0121399,
0.0151452,
0.0224974,
0.0416305,
0.0968799,
0.2560437,
0.6960638
],
[
0.0122259,
0.0151701,
0.0225033,
0.0416569,
0.0968945,
0.2559464,
0.6991556
],
[
0.0122068,
0.0151358,
0.0224549,
0.0415617,
0.0967539,
0.2560377,
0.6984185
],
[
0.0122557,
0.0151285,
0.022474,
0.0417968,
0.0964052,
0.2554148,
0.6956911
],
[
0.012276,
0.0151446,
0.0224473,
0.041542,
0.0969924,
0.2559586,
0.6975693
]
]
},
"related_pin": "GATE_N",
"rise_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138152,
0.00381721,
0.0105471,
0.0291421,
0.080521,
0.222483
],
"values": [
[
0.0148931,
0.0200479,
0.0353944,
0.0793175,
0.203186,
0.5471454,
1.4973862
],
[
0.0148948,
0.020034,
0.0352257,
0.0795195,
0.2034205,
0.5495686,
1.5022779
],
[
0.0148444,
0.0200346,
0.0352015,
0.0795066,
0.2038313,
0.5497912,
1.4978394
],
[
0.014884,
0.0200427,
0.0353078,
0.0793938,
0.2038353,
0.5503545,
1.5029362
],
[
0.0148643,
0.0200532,
0.0352592,
0.0794007,
0.2036163,
0.5498627,
1.5017446
],
[
0.0148927,
0.0200608,
0.0352721,
0.0794888,
0.2031929,
0.5490905,
1.4982542
],
[
0.0148794,
0.0200661,
0.0352249,
0.0795153,
0.2037638,
0.5499744,
1.4976457
]
]
},
"timing_sense": "non_unate",
"timing_type": "falling_edge"
},
{
"cell_fall del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138152,
0.00381721,
0.0105471,
0.0291421,
0.080521,
0.222483
],
"values": [
[
0.0899736,
0.093864,
0.102369,
0.1208099,
0.1653432,
0.2858614,
0.6193913
],
[
0.0928366,
0.0967402,
0.1052461,
0.1237154,
0.1681966,
0.2887488,
0.6225663
],
[
0.0989003,
0.1028045,
0.111284,
0.129769,
0.1742564,
0.2948029,
0.6287405
],
[
0.1089204,
0.1128428,
0.1213567,
0.1398325,
0.1843668,
0.3048848,
0.6383833
],
[
0.117173,
0.1211583,
0.1297271,
0.1483696,
0.1929205,
0.3134977,
0.6473927
],
[
0.107191,
0.1113605,
0.1202372,
0.139153,
0.1838121,
0.3043197,
0.6375922
],
[
0.0401626,
0.0448099,
0.054566,
0.074243,
0.1190506,
0.2395,
0.5728927
]
]
},
"cell_rise del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138152,
0.00381721,
0.0105471,
0.0291421,
0.080521,
0.222483
],
"values": [
[
0.1065651,
0.1113573,
0.1232932,
0.1546586,
0.2406192,
0.4786895,
1.1371381
],
[
0.1114532,
0.1162097,
0.1281062,
0.1595621,
0.2455287,
0.4833602,
1.1444731
],
[
0.123837,
0.1286467,
0.1405653,
0.1719624,
0.2580613,
0.4960257,
1.1536688
],
[
0.154647,
0.1593753,
0.1712446,
0.2025745,
0.2887691,
0.5265941,
1.188912
],
[
0.2166328,
0.2215036,
0.233376,
0.2646994,
0.3507954,
0.5883614,
1.2471274
],
[
0.3208629,
0.3259655,
0.3381434,
0.3697021,
0.4556846,
0.6933559,
1.3539471
],
[
0.505886,
0.5116959,
0.5244357,
0.5557697,
0.6418608,
0.8795504,
1.5367537
]
]
},
"fall_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138152,
0.00381721,
0.0105471,
0.0291421,
0.080521,
0.222483
],
"values": [
[
0.0120818,
0.0150634,
0.0224927,
0.0415044,
0.0969124,
0.2556775,
0.6985011
],
[
0.0119807,
0.0151227,
0.0224174,
0.0416147,
0.0967644,
0.2560264,
0.7011554
],
[
0.0119578,
0.0151212,
0.0223421,
0.0415924,
0.0968512,
0.2559803,
0.7015845
],
[
0.0121091,
0.0151432,
0.0226459,
0.041686,
0.0969292,
0.2555756,
0.6962386
],
[
0.0125106,
0.0154638,
0.0228749,
0.0418532,
0.096251,
0.2558328,
0.7014105
],
[
0.0135513,
0.0167582,
0.0236184,
0.0425804,
0.0972761,
0.2553015,
0.7035612
],
[
0.0160761,
0.0191538,
0.0263848,
0.0444221,
0.0980594,
0.2557153,
0.695103
]
]
},
"related_pin": "RESET_B",
"rise_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138152,
0.00381721,
0.0105471,
0.0291421,
0.080521,
0.222483
],
"values": [
[
0.0147516,
0.0199516,
0.0352379,
0.0794758,
0.2036836,
0.5481144,
1.4991691
],
[
0.0147545,
0.0199592,
0.0352614,
0.0794078,
0.2035128,
0.5485269,
1.4995902
],
[
0.0147671,
0.0199502,
0.035224,
0.0794156,
0.2032696,
0.5487236,
1.4990938
],
[
0.0147385,
0.0200015,
0.0352208,
0.0793463,
0.2034355,
0.548725,
1.5063065
],
[
0.0154151,
0.0205277,
0.0354807,
0.0795016,
0.2037744,
0.547148,
1.5041621
],
[
0.017519,
0.0222272,
0.0364169,
0.0797815,
0.2033753,
0.5476942,
1.4981226
],
[
0.020375,
0.025127,
0.0382239,
0.0803283,
0.2036364,
0.5466699,
1.4964017
]
]
},
"timing_sense": "negative_unate",
"timing_type": "preset"
}
]
},
"pin RESET_B": {
"capacitance": 0.002504,
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
2.15122,
0.621374,
0.561023,
0.537623,
0.50976,
0.494132,
0.477386,
0.459533,
0.440584,
0.42055,
0.399443,
0.377277,
0.354064,
0.329821,
0.304563,
0.278307,
0.251068,
0.222865,
0.193716,
0.163637,
0.132651,
0.100775,
0.0680281,
0.0344297,
-1.22775e-08,
-0.067817,
-0.132538,
-0.348172,
-1.90748
],
[
2.03645,
0.480713,
0.432262,
0.420814,
0.408106,
0.401083,
0.393451,
0.385023,
0.375544,
0.3647,
0.352221,
0.337989,
0.321993,
0.30425,
0.284775,
0.263584,
0.2407,
0.216146,
0.189947,
0.162133,
0.132736,
0.101788,
0.069324,
0.0353821,
-3.33049e-08,
-0.0705208,
-0.13826,
-0.361212,
-1.90962
],
[
1.94215,
0.369045,
0.310811,
0.303037,
0.294808,
0.290468,
0.285938,
0.281174,
0.276119,
0.270689,
0.264767,
0.258175,
0.250637,
0.241748,
0.231028,
0.218142,
0.202972,
0.185491,
0.165704,
0.143626,
0.119283,
0.0927075,
0.0639386,
0.0330197,
-6.03328e-09,
-0.0673841,
-0.133517,
-0.355226,
-1.90909
],
[
1.90846,
0.347334,
0.264699,
0.257923,
0.250834,
0.247137,
0.243314,
0.239338,
0.235175,
0.230778,
0.226082,
0.220993,
0.215365,
0.208972,
0.201447,
0.192259,
0.180892,
0.167077,
0.150734,
0.131847,
0.110427,
0.0864957,
0.060086,
0.031238,
-2.36389e-09,
-0.0647248,
-0.129194,
-0.348685,
-1.90848
],
[
1.87446,
0.343045,
0.216879,
0.211032,
0.204984,
0.201861,
0.198658,
0.195359,
0.191943,
0.188386,
0.184651,
0.180689,
0.176428,
0.171759,
0.166507,
0.160372,
0.152847,
0.143259,
0.131112,
0.116217,
0.0985259,
0.0780357,
0.054763,
0.0287375,
-3.90432e-09,
-0.0608994,
-0.122905,
-0.338868,
-1.90757
],
[
1.85746,
0.343233,
0.192738,
0.187267,
0.181711,
0.178857,
0.175939,
0.172948,
0.169867,
0.166678,
0.163354,
0.159861,
0.156148,
0.152142,
0.147725,
0.142702,
0.136727,
0.129191,
0.119352,
0.106756,
0.0912588,
0.072824,
0.0514537,
0.0271679,
-4.32271e-09,
-0.0584681,
-0.118891,
-0.332494,
-1.90698
],
[
1.84063,
0.343486,
0.16949,
0.163531,
0.158453,
0.155856,
0.153213,
0.150513,
0.147746,
0.144899,
0.141953,
0.138882,
0.135653,
0.132215,
0.128492,
0.124361,
0.119606,
0.113813,
0.106245,
0.0961118,
0.083028,
0.0668857,
0.0476603,
0.0253575,
-7.4678e-09,
-0.0556452,
-0.11422,
-0.325004,
-1.9063
],
[
1.82414,
0.343474,
0.15071,
0.140063,
0.135438,
0.133091,
0.130711,
0.12829,
0.125821,
0.123294,
0.120697,
0.118012,
0.115215,
0.112273,
0.109138,
0.105733,
0.101929,
0.0974838,
0.0918923,
0.0842564,
0.0737832,
0.0601724,
0.0433457,
0.0232855,
-1.21152e-07,
-0.052396,
-0.108837,
-0.316288,
-1.9055
],
[
1.80817,
0.343193,
0.139945,
0.117356,
0.112934,
0.110831,
0.108706,
0.106554,
0.10437,
0.102146,
0.0998749,
0.0975444,
0.0951391,
0.0926378,
0.0900096,
0.0872082,
0.0841585,
0.0807275,
0.0766407,
0.0712558,
0.0634993,
0.0526453,
0.0384751,
0.0209304,
-2.70134e-06,
-0.048689,
-0.102688,
-0.306236,
-1.90458
],
[
1.79294,
0.343388,
0.135561,
0.0974137,
0.0912539,
0.0893867,
0.0875083,
0.0856141,
0.0837007,
0.0817635,
0.079797,
0.0777938,
0.0757442,
0.0736351,
0.0714479,
0.0691555,
0.0667159,
0.0640599,
0.0610525,
0.0573723,
0.0521729,
0.0442401,
0.0329761,
0.0182264,
-5.69749e-05,
-0.0445427,
-0.0957701,
-0.294789,
-1.90358
],
[
1.77863,
0.344359,
0.134727,
0.0845961,
0.0707298,
0.0690018,
0.0673112,
0.0656146,
0.0639088,
0.0621911,
0.060458,
0.0587055,
0.0569277,
0.0551175,
0.0532647,
0.0513579,
0.0493934,
0.0473412,
0.0451147,
0.0425772,
0.0393381,
0.0342738,
0.0261242,
0.0144443,
-0.00087985,
-0.0406472,
-0.0887566,
-0.282563,
-1.90298
],
[
1.76549,
0.34652,
0.136113,
0.0795364,
0.051608,
0.0490845,
0.0471793,
0.0452985,
0.0434208,
0.0415418,
0.0396594,
0.0377723,
0.03588,
0.0339847,
0.0321024,
0.0303039,
0.0286744,
0.0270667,
0.0253928,
0.0235945,
0.0215424,
0.0187413,
0.0137495,
0.00538383,
-0.00666721,
-0.04117,
-0.0858,
-0.273647,
-1.90578
],
[
1.75377,
0.350284,
0.139286,
0.0784101,
0.0343524,
0.0266062,
0.0232946,
0.0204547,
0.017721,
0.0150384,
0.0123937,
0.00978819,
0.00723655,
0.00477971,
0.00252448,
0.000685092,
-0.000743586,
-0.00206387,
-0.00337451,
-0.00470984,
-0.00611116,
-0.00769373,
-0.0100862,
-0.0150213,
-0.0235095,
-0.0521364,
-0.0928876,
-0.274,
-1.91625
],
[
1.74375,
0.356091,
0.144116,
0.0783671,
0.0201234,
0.00191667,
-0.00629131,
-0.0108801,
-0.0147377,
-0.0183242,
-0.0217468,
-0.0250257,
-0.0281333,
-0.0309833,
-0.033387,
-0.0351052,
-0.0363578,
-0.0374605,
-0.0385055,
-0.0395221,
-0.040528,
-0.0415471,
-0.0426711,
-0.0446801,
-0.0494903,
-0.0715711,
-0.107972,
-0.281748,
-1.93279
],
[
1.73577,
0.364436,
0.150539,
0.0787781,
0.0096253,
-0.0177177,
-0.035082,
-0.0434889,
-0.0488514,
-0.053295,
-0.0572943,
-0.0609718,
-0.0643214,
-0.067233,
-0.0694571,
-0.0708964,
-0.0719364,
-0.0728221,
-0.0736301,
-0.074388,
-0.0751091,
-0.0758023,
-0.0764792,
-0.0772133,
-0.0790052,
-0.09404,
-0.125596,
-0.291773,
-1.95143
],
[
1.73022,
0.375904,
0.158534,
0.0805325,
0.00323053,
-0.0304396,
-0.0562497,
-0.0717816,
-0.0799672,
-0.0856076,
-0.0902275,
-0.0942354,
-0.0977064,
-0.100513,
-0.102416,
-0.103601,
-0.104469,
-0.105197,
-0.10585,
-0.106455,
-0.107024,
-0.107566,
-0.108087,
-0.108593,
-0.109232,
-0.117484,
-0.143657,
-0.30206,
-1.9704
],
[
1.72755,
0.390933,
0.16788,
0.0842609,
0.000680015,
-0.037453,
-0.0692674,
-0.0927259,
-0.106222,
-0.114095,
-0.119771,
-0.124327,
-0.128023,
-0.130744,
-0.132451,
-0.133564,
-0.134418,
-0.135147,
-0.135805,
-0.136419,
-0.137001,
-0.137559,
-0.138098,
-0.138623,
-0.139149,
-0.14257,
-0.162285,
-0.31233,
-1.98925
],
[
1.72771,
0.408601,
0.177189,
0.0887939,
0.000116998,
-0.0413681,
-0.0774989,
-0.10711,
-0.12766,
-0.139573,
-0.147116,
-0.152592,
-0.156651,
-0.159355,
-0.161039,
-0.162218,
-0.163161,
-0.163981,
-0.164727,
-0.165424,
-0.166085,
-0.166721,
-0.167335,
-0.167932,
-0.168517,
-0.170103,
-0.18226,
-0.322703,
-2.00785
],
[
1.72941,
0.426759,
0.18513,
0.092834,
2.12002e-05,
-0.0440421,
-0.0833719,
-0.117281,
-0.144079,
-0.161778,
-0.172421,
-0.179326,
-0.183891,
-0.186702,
-0.188505,
-0.189833,
-0.190926,
-0.191884,
-0.192758,
-0.193574,
-0.194347,
-0.195088,
-0.195802,
-0.196495,
-0.19717,
-0.198546,
-0.204378,
-0.33333,
-2.02616
],
[
1.73146,
0.44427,
0.191612,
0.0961662,
3.90775e-06,
-0.0460742,
-0.0878369,
-0.12482,
-0.156038,
-0.17958,
-0.194706,
-0.203815,
-0.209186,
-0.21235,
-0.214444,
-0.216035,
-0.21736,
-0.218526,
-0.219586,
-0.220572,
-0.221502,
-0.222388,
-0.223239,
-0.22406,
-0.224857,
-0.226404,
-0.229038,
-0.344311,
-2.04411
],
[
1.7335,
0.46074,
0.196912,
0.0988969,
6.56195e-07,
-0.0476798,
-0.0913378,
-0.130611,
-0.164859,
-0.192784,
-0.212713,
-0.224826,
-0.231525,
-0.235427,
-0.238073,
-0.240112,
-0.241815,
-0.243308,
-0.244658,
-0.245904,
-0.247071,
-0.248174,
-0.249226,
-0.250234,
-0.251207,
-0.253066,
-0.25504,
-0.355667,
-2.06163
],
[
1.73549,
0.475889,
0.201284,
0.101147,
8.10543e-08,
-0.048974,
-0.0941378,
-0.135175,
-0.171591,
-0.202437,
-0.226042,
-0.241159,
-0.249616,
-0.254684,
-0.258217,
-0.260975,
-0.263283,
-0.265299,
-0.26711,
-0.268768,
-0.270307,
-0.271751,
-0.273114,
-0.274412,
-0.275652,
-0.277995,
-0.28024,
-0.3673,
-2.07859
],
[
1.73741,
0.489436,
0.20492,
0.103016,
1.16898e-08,
-0.0500313,
-0.0964104,
-0.13884,
-0.176879,
-0.2097,
-0.235623,
-0.252802,
-0.262814,
-0.269146,
-0.273749,
-0.277433,
-0.280559,
-0.283309,
-0.285786,
-0.288052,
-0.29015,
-0.292108,
-0.293949,
-0.295689,
-0.297341,
-0.300429,
-0.303307,
-0.378941,
-2.0948
],
[
1.73923,
0.501139,
0.207965,
0.104576,
7.87063e-09,
-0.0509037,
-0.0982762,
-0.141825,
-0.181122,
-0.21535,
-0.242691,
-0.260991,
-0.271962,
-0.279213,
-0.28469,
-0.289205,
-0.293125,
-0.296637,
-0.299846,
-0.302817,
-0.305591,
-0.308199,
-0.310662,
-0.312996,
-0.315215,
-0.319359,
-0.32319,
-0.390078,
-2.10996
],
[
1.74094,
0.510883,
0.210528,
0.105885,
8.52766e-09,
-0.051629,
-0.0998216,
-0.144283,
-0.18458,
-0.219856,
-0.248105,
-0.266984,
-0.278465,
-0.286257,
-0.292285,
-0.297354,
-0.301832,
-0.305909,
-0.309692,
-0.313245,
-0.316609,
-0.319812,
-0.322874,
-0.325809,
-0.328629,
-0.33396,
-0.338947,
-0.39997,
-2.12359
],
[
1.74397,
0.525012,
0.214528,
0.107919,
1.11741e-08,
-0.0527446,
-0.10219,
-0.14803,
-0.189798,
-0.226524,
-0.255826,
-0.275187,
-0.287104,
-0.295407,
-0.301972,
-0.307592,
-0.312633,
-0.317288,
-0.321668,
-0.325841,
-0.329851,
-0.333729,
-0.337496,
-0.341168,
-0.344757,
-0.351727,
-0.358484,
-0.414078,
-2.14409
],
[
1.74633,
0.533955,
0.217403,
0.109372,
1.54985e-08,
-0.0535339,
-0.103864,
-0.150668,
-0.193444,
-0.23111,
-0.26098,
-0.280505,
-0.292593,
-0.301123,
-0.307935,
-0.313805,
-0.319098,
-0.324009,
-0.328649,
-0.333087,
-0.337371,
-0.341531,
-0.345591,
-0.349569,
-0.353477,
-0.361135,
-0.368657,
-0.423042,
-2.15532
],
[
1.74948,
0.546388,
0.221917,
0.111618,
4.297e-08,
-0.0547486,
-0.106468,
-0.154796,
-0.199153,
-0.238231,
-0.268826,
-0.288469,
-0.300732,
-0.309529,
-0.316635,
-0.322804,
-0.328396,
-0.333602,
-0.338536,
-0.343269,
-0.347849,
-0.352308,
-0.356671,
-0.360956,
-0.365178,
-0.373487,
-0.381702,
-0.436881,
-2.16792
],
[
1.75017,
0.549719,
0.222808,
0.111975,
1.56944e-07,
-0.0549752,
-0.107098,
-0.155976,
-0.20098,
-0.240682,
-0.271627,
-0.291369,
-0.303733,
-0.312653,
-0.319887,
-0.326182,
-0.331898,
-0.337226,
-0.34228,
-0.347133,
-0.351831,
-0.356409,
-0.36089,
-0.365294,
-0.369636,
-0.378189,
-0.386656,
-0.442247,
-2.17252
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000593146,
"miller_cap_rise": 0.000382252,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0197753,
0.0289484,
0.0392644,
0.051558,
0.0698082
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.0701177,
0.0844058,
0.0966884,
0.1091888,
0.1274796
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.001
],
"index_3": [
0.0243403,
0.0435387,
0.0650839,
0.0878713,
0.1211356
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.001
],
"index_3": [
0.0881453,
0.1100784,
0.1317356,
0.1543534,
0.1876867
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.931132
],
"index_2": [
1.16542
],
"index_3": [
0.001
],
"index_4": [
0.5769915,
0.6146616,
0.6822999,
0.7606623,
0.813393
],
"values": [
1.52462,
1.26939,
1.09923,
1.26939,
1.52462
]
},
{
"index_1": [
1.03817
],
"index_2": [
0.6438
],
"index_3": [
0.001
],
"index_4": [
0.3213794,
0.3499964,
0.4023424,
0.4690531,
0.5113591
],
"values": [
1.44051,
1.13481,
0.931013,
1.13481,
1.44051
]
},
{
"index_1": [
1.14491
],
"index_2": [
0.415376
],
"index_3": [
0.001
],
"index_4": [
0.2096513,
0.2327076,
0.2789305,
0.329939,
0.3646619
],
"values": [
1.40637,
1.0802,
0.862748,
1.0802,
1.40637
]
},
{
"index_1": [
0.931132
],
"index_2": [
0.58271
],
"index_3": [
0.001
],
"index_4": [
0.295091,
0.3152644,
0.3541388,
0.3922888,
0.4245014
],
"values": [
1.72492,
1.58987,
1.49984,
1.58987,
1.72492
]
},
{
"index_1": [
1.03817
],
"index_2": [
0.3219
],
"index_3": [
0.001
],
"index_4": [
0.1672275,
0.182419,
0.2068179,
0.2413362,
0.268396
],
"values": [
1.6849,
1.52584,
1.4198,
1.52584,
1.6849
]
},
{
"index_1": [
1.14491
],
"index_2": [
0.207688
],
"index_3": [
0.001
],
"index_4": [
0.1109734,
0.1230032,
0.1439411,
0.1708217,
0.1932919
],
"values": [
1.67167,
1.50468,
1.39335,
1.50468,
1.67167
]
},
{
"index_1": [
1.03817
],
"index_2": [
0.965699
],
"index_3": [
0.001
],
"index_4": [
0.4708579,
0.5125578,
0.589502,
0.6854371,
0.749053
],
"values": [
1.27284,
0.866548,
0.595685,
0.866548,
1.27284
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.20754
],
"index_2": [
2.20728
],
"index_3": [
0.001
],
"index_4": [
1.0801225,
1.1238754,
1.1861508,
1.2539011,
1.3009503
],
"values": [
0.215368,
0.344588,
0.430735,
0.344588,
0.215368
]
},
{
"index_1": [
1.31791
],
"index_2": [
1.28382
],
"index_3": [
0.001
],
"index_4": [
0.6285876,
0.6687307,
0.7253595,
0.793408,
0.8320026
],
"values": [
0.346171,
0.553873,
0.692341,
0.553873,
0.346171
]
},
{
"index_1": [
1.41851
],
"index_2": [
0.865662
],
"index_3": [
0.001
],
"index_4": [
0.4247354,
0.4607871,
0.5252507,
0.5712598,
0.6036617
],
"values": [
0.413131,
0.661009,
0.826261,
0.661009,
0.413131
]
},
{
"index_1": [
1.20754
],
"index_2": [
1.10364
],
"index_3": [
0.001
],
"index_4": [
0.5410397,
0.5665553,
0.6060792,
0.6421855,
0.6697469
],
"values": [
0.134992,
0.215987,
0.269984,
0.215987,
0.134992
]
},
{
"index_1": [
1.31791
],
"index_2": [
0.641909
],
"index_3": [
0.001
],
"index_4": [
0.3179959,
0.3398934,
0.3773068,
0.4072707,
0.4291
],
"values": [
0.200867,
0.321387,
0.401733,
0.321387,
0.200867
]
},
{
"index_1": [
1.41851
],
"index_2": [
0.432831
],
"index_3": [
0.001
],
"index_4": [
0.2166083,
0.2360795,
0.264302,
0.2938854,
0.31213
],
"values": [
0.233737,
0.373979,
0.467474,
0.373979,
0.233737
]
},
{
"index_1": [
1.31791
],
"index_2": [
1.92573
],
"index_3": [
0.001
],
"index_4": [
0.9369233,
0.9945088,
1.0897455,
1.1711924,
1.2256342
],
"values": [
0.460453,
0.736725,
0.920906,
0.736725,
0.460453
]
}
]
},
"stage_type": "both"
},
"clock": "false",
"direction": "input",
"fall_capacitance": 0.002377,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
0.0049251,
0.0049644,
0.0050551,
0.0050602,
0.0050719,
0.0050988,
0.0051612
]
},
"rise_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
-0.0048642,
-0.0049157,
-0.0050344,
-0.0050377,
-0.0050454,
-0.0050633,
-0.0051043
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.00263,
"timing": [
{
"related_pin": "GATE_N",
"rise_constraint vio_3_3_1": {
"index_1": [
0.01,
0.5,
1.5
],
"index_2": [
0.01,
0.5,
1.5
],
"values": [
[
-0.0407246,
-0.0486673,
-0.1459167
],
[
-0.0852721,
-0.0919941,
-0.1880228
],
[
-0.0490579,
-0.0557799,
-0.1530293
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "recovery_rising",
"violation_delay_degrade_pct": 10
},
{
"related_pin": "GATE_N",
"rise_constraint vio_3_3_1": {
"index_1": [
0.01,
0.5,
1.5
],
"index_2": [
0.01,
0.5,
1.5
],
"values": [
[
0.0508281,
0.0575501,
0.1584616
],
[
0.0953757,
0.100877,
0.2005677
],
[
0.0591615,
0.0634421,
0.1643535
]
]
},
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "removal_rising",
"violation_delay_degrade_pct": 10
},
{
"fall_constraint constraint_3_0_1": {
"index_1": [
0.01,
0.5,
1.5
],
"values": [
0.0906919,
0.8333333,
2.5
]
},
"related_pin": "RESET_B",
"sim_opt": "runlvl=5 accurate=1",
"timing_type": "min_pulse_width",
"violation_delay_degrade_pct": 10
}
]
}
}