blob: 0809ca3e5b7f06d7c9acc196978c8f5f72a1232e [file] [log] [blame]
{
"area": 26.2752,
"cell_footprint": "a221oi",
"cell_leakage_power": 0.02253563,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"leakage_power": [
{
"value": 0.0248078,
"when": "!A1&!A2&!B1&!B2&C1"
},
{
"value": 0.0498144,
"when": "!A1&!A2&!B1&!B2&!C1"
},
{
"value": 0.0248083,
"when": "!A1&!A2&!B1&B2&C1"
},
{
"value": 0.049861,
"when": "!A1&!A2&!B1&B2&!C1"
},
{
"value": 0.0248064,
"when": "!A1&!A2&B1&!B2&C1"
},
{
"value": 0.0745337,
"when": "!A1&!A2&B1&!B2&!C1"
},
{
"value": 0.0247839,
"when": "!A1&!A2&B1&B2&C1"
},
{
"value": 0.0032438,
"when": "!A1&!A2&B1&B2&!C1"
},
{
"value": 0.0248084,
"when": "!A1&A2&!B1&!B2&C1"
},
{
"value": 0.0498575,
"when": "!A1&A2&!B1&!B2&!C1"
},
{
"value": 0.0248069,
"when": "!A1&A2&!B1&B2&C1"
},
{
"value": 0.0005211,
"when": "!A1&A2&!B1&B2&!C1"
},
{
"value": 0.0248069,
"when": "!A1&A2&B1&!B2&C1"
},
{
"value": 0.0004811,
"when": "!A1&A2&B1&!B2&!C1"
},
{
"value": 0.0247839,
"when": "!A1&A2&B1&B2&C1"
},
{
"value": 0.0032438,
"when": "!A1&A2&B1&B2&!C1"
},
{
"value": 0.0248066,
"when": "A1&!A2&!B1&!B2&C1"
},
{
"value": 0.0744991,
"when": "A1&!A2&!B1&!B2&!C1"
},
{
"value": 0.0248069,
"when": "A1&!A2&!B1&B2&C1"
},
{
"value": 0.0004813,
"when": "A1&!A2&!B1&B2&!C1"
},
{
"value": 0.0248069,
"when": "A1&!A2&B1&!B2&C1"
},
{
"value": 0.0003287,
"when": "A1&!A2&B1&!B2&!C1"
},
{
"value": 0.0247839,
"when": "A1&!A2&B1&B2&C1"
},
{
"value": 0.0032438,
"when": "A1&!A2&B1&B2&!C1"
},
{
"value": 0.0248069,
"when": "A1&A2&!B1&!B2&C1"
},
{
"value": 0.0023502,
"when": "A1&A2&!B1&!B2&!C1"
},
{
"value": 0.0247865,
"when": "A1&A2&!B1&B2&C1"
},
{
"value": 0.0041186,
"when": "A1&A2&!B1&B2&!C1"
},
{
"value": 0.0247849,
"when": "A1&A2&B1&!B2&C1"
},
{
"value": 0.0045417,
"when": "A1&A2&B1&!B2&!C1"
},
{
"value": 0.0247834,
"when": "A1&A2&B1&B2&C1"
},
{
"value": 0.0032416,
"when": "A1&A2&B1&B2&!C1"
}
],
"pg_pin VGND": {
"pg_type": "primary_ground",
"voltage_name": "VGND"
},
"pg_pin VNB": {
"pg_type": "primary_ground",
"voltage_name": "VNB"
},
"pg_pin VPB": {
"pg_type": "primary_power",
"voltage_name": "VPB"
},
"pg_pin VPWR": {
"pg_type": "primary_power",
"voltage_name": "VPWR"
},
"pin A1": {
"capacitance": 0.008433,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.008038,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
0.0196842,
0.0196652,
0.0196215,
0.0196241,
0.0196304,
0.0196447,
0.0196776
]
},
"rise_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
-0.0142319,
-0.0142453,
-0.0142762,
-0.0142485,
-0.0141849,
-0.0140381,
-0.0136997
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.008827
},
"pin A2": {
"capacitance": 0.009164,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.008704,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
0.0180121,
0.0180121,
0.0180121,
0.0180089,
0.0180016,
0.0179848,
0.0179459
]
},
"rise_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
-0.0179633,
-0.0179663,
-0.0179734,
-0.0179741,
-0.0179758,
-0.0179796,
-0.0179881
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.009623
},
"pin B1": {
"capacitance": 0.008383,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.007933,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
0.0198861,
0.0198824,
0.0198737,
0.0198805,
0.0198964,
0.0199331,
0.0200174
]
},
"rise_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
-0.0135853,
-0.0136008,
-0.0136364,
-0.0136111,
-0.0135527,
-0.0134179,
-0.0131071
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.008834
},
"pin B2": {
"capacitance": 0.008915,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.008379,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
0.0182741,
0.0182548,
0.0182102,
0.0182081,
0.0182033,
0.0181923,
0.0181668
]
},
"rise_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
-0.0181623,
-0.0181602,
-0.0181555,
-0.0181576,
-0.0181626,
-0.0181739,
-0.0181999
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.009451
},
"pin C1": {
"capacitance": 0.008608,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.007946,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
0.0083474,
0.0083346,
0.008305,
0.0083408,
0.0084232,
0.0086132,
0.0090513
]
},
"rise_power power_inputs_1": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"values": [
-0.0073856,
-0.0073901,
-0.0074006,
-0.007396,
-0.0073856,
-0.0073616,
-0.0073062
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.009271
},
"pin Y": {
"direction": "output",
"function": "(!A1&!B1&!C1) | (!A1&!B2&!C1) | (!A2&!B1&!C1) | (!A2&!B2&!C1)",
"internal_power": [
{
"fall_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.01061201,
0.02938148,
0.08134852,
0.2252297
],
"values": [
[
0.0294958,
0.0278168,
0.0231912,
0.0103664,
-0.02509,
-0.122999,
-0.3956494
],
[
0.0289996,
0.0273235,
0.0227219,
0.0099156,
-0.0255362,
-0.123536,
-0.3960952
],
[
0.0283248,
0.0266437,
0.0221236,
0.0094103,
-0.0260622,
-0.1240165,
-0.3966262
],
[
0.0276585,
0.0260023,
0.0215006,
0.0087938,
-0.0264913,
-0.124516,
-0.3971276
],
[
0.0280042,
0.0263111,
0.0218393,
0.0090189,
-0.0264612,
-0.1243009,
-0.3970995
],
[
0.0333752,
0.0316013,
0.026688,
0.0132052,
-0.0234035,
-0.1232653,
-0.3965426
],
[
0.0499591,
0.0479057,
0.0419472,
0.0273285,
-0.0112784,
-0.1142976,
-0.3923633
]
]
},
"related_pin": "A1",
"rise_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.01061201,
0.02938148,
0.08134852,
0.2252297
],
"values": [
[
0.0539881,
0.0558076,
0.0606882,
0.0738471,
0.1094094,
0.2072335,
0.4774147
],
[
0.0529418,
0.0547478,
0.0596897,
0.073044,
0.109192,
0.2069044,
0.4771009
],
[
0.0521931,
0.0539457,
0.0587609,
0.0720604,
0.1083617,
0.2064231,
0.4769418
],
[
0.0517973,
0.0534725,
0.0582205,
0.0712329,
0.1073084,
0.2055264,
0.476704
],
[
0.0514063,
0.0530624,
0.0577907,
0.0705834,
0.1062976,
0.2051665,
0.4759375
],
[
0.0513213,
0.0530383,
0.0576855,
0.0706778,
0.1062428,
0.2038695,
0.4742926
],
[
0.0576068,
0.0589327,
0.0627684,
0.074123,
0.1093471,
0.2065502,
0.4761709
]
]
}
},
{
"fall_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.01061201,
0.02938148,
0.08134852,
0.2252297
],
"values": [
[
0.0329218,
0.0312463,
0.0265965,
0.013766,
-0.0216785,
-0.1195974,
-0.3922403
],
[
0.0323172,
0.0306318,
0.0259984,
0.0131505,
-0.022285,
-0.1202496,
-0.3928542
],
[
0.0317122,
0.0300591,
0.0254074,
0.0126093,
-0.0228627,
-0.1208545,
-0.393454
],
[
0.0309281,
0.0292897,
0.0247543,
0.0119049,
-0.0234899,
-0.1214283,
-0.3940101
],
[
0.0308865,
0.0292089,
0.0245441,
0.0117541,
-0.0233402,
-0.1212831,
-0.3938925
],
[
0.0357347,
0.0339737,
0.0290994,
0.015771,
-0.0206773,
-0.1201715,
-0.3932659
],
[
0.0507211,
0.0487936,
0.0437211,
0.0291982,
-0.0093587,
-0.1119095,
-0.3892834
]
]
},
"related_pin": "A2",
"rise_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.01061201,
0.02938148,
0.08134852,
0.2252297
],
"values": [
[
0.0713128,
0.0730355,
0.0776652,
0.0906514,
0.125992,
0.2237783,
0.4938491
],
[
0.0703781,
0.0721657,
0.0768725,
0.089883,
0.1254039,
0.2232777,
0.4930359
],
[
0.0696606,
0.0713774,
0.0760945,
0.0891392,
0.124846,
0.2227619,
0.4928241
],
[
0.069228,
0.0709191,
0.0756545,
0.088565,
0.1241917,
0.2221193,
0.4928819
],
[
0.0688166,
0.0705401,
0.0751735,
0.0880823,
0.1234898,
0.2214007,
0.4920067
],
[
0.0688746,
0.0705699,
0.0752625,
0.0882908,
0.1236045,
0.2214756,
0.4918296
],
[
0.0748678,
0.0762832,
0.0804357,
0.0921406,
0.1273081,
0.2245377,
0.4926777
]
]
}
},
{
"fall_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.01061201,
0.02938148,
0.08134852,
0.2252297
],
"values": [
[
0.0175214,
0.0158517,
0.011254,
-0.0014698,
-0.0368368,
-0.1352261,
-0.4084407
],
[
0.0171606,
0.0155074,
0.0109672,
-0.0017088,
-0.0370071,
-0.1354254,
-0.4086241
],
[
0.0166889,
0.015092,
0.0105514,
-0.0020412,
-0.0372562,
-0.1355436,
-0.4086992
],
[
0.0160841,
0.0143888,
0.0099639,
-0.002645,
-0.0376556,
-0.1358399,
-0.4087837
],
[
0.0171863,
0.0154593,
0.010679,
-0.0022555,
-0.0376126,
-0.135762,
-0.4087777
],
[
0.0223629,
0.0205269,
0.015532,
0.002082,
-0.0344657,
-0.134606,
-0.40811
],
[
0.0392697,
0.0371234,
0.0318926,
0.017886,
-0.0226362,
-0.1254597,
-0.4037186
]
]
},
"related_pin": "B1",
"rise_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.01061201,
0.02938148,
0.08134852,
0.2252297
],
"values": [
[
0.0341239,
0.0359527,
0.0410263,
0.0543941,
0.0902597,
0.1879137,
0.4582108
],
[
0.0329382,
0.0347651,
0.0397754,
0.0532321,
0.0898695,
0.1882665,
0.4578761
],
[
0.0320874,
0.0338566,
0.0387225,
0.0521059,
0.0882887,
0.1870773,
0.4579971
],
[
0.0316504,
0.0333359,
0.0381352,
0.0512393,
0.0874716,
0.1858289,
0.4599887
],
[
0.031227,
0.0328986,
0.0376667,
0.0505181,
0.086255,
0.1844501,
0.4556459
],
[
0.0331121,
0.0347241,
0.0391391,
0.0517291,
0.0868982,
0.1848312,
0.4552044
],
[
0.045257,
0.0464771,
0.0500103,
0.0607272,
0.09448,
0.1896999,
0.4590669
]
]
}
},
{
"fall_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.01061201,
0.02938148,
0.08134852,
0.2252297
],
"values": [
[
0.0217525,
0.0200866,
0.0155013,
0.0027799,
-0.0326192,
-0.1310716,
-0.4042814
],
[
0.0213791,
0.0197291,
0.0151639,
0.0025038,
-0.0327906,
-0.1311852,
-0.4044042
],
[
0.0207369,
0.0191157,
0.0145551,
0.0020029,
-0.0331519,
-0.1314279,
-0.4045375
],
[
0.0197631,
0.0181783,
0.0136789,
0.0012549,
-0.0336328,
-0.1316916,
-0.4047051
],
[
0.0208531,
0.0191922,
0.0145387,
0.001811,
-0.0339088,
-0.1318113,
-0.4046
],
[
0.0255144,
0.0237116,
0.0188482,
0.0055187,
-0.0310165,
-0.1307712,
-0.4039902
],
[
0.0415802,
0.0395706,
0.0342106,
0.0199812,
-0.0188842,
-0.1219729,
-0.3998014
]
]
},
"related_pin": "B2",
"rise_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.01061201,
0.02938148,
0.08134852,
0.2252297
],
"values": [
[
0.051676,
0.0534095,
0.0581617,
0.0711301,
0.1066359,
0.2043728,
0.4745463
],
[
0.0506273,
0.0523934,
0.0572067,
0.0702705,
0.1061044,
0.2038935,
0.4738357
],
[
0.0497349,
0.0514565,
0.0562444,
0.0693345,
0.105281,
0.2035442,
0.4736534
],
[
0.049279,
0.051037,
0.0556554,
0.068615,
0.1043496,
0.2025821,
0.4733275
],
[
0.0489203,
0.0505638,
0.0552731,
0.0681633,
0.1037805,
0.201763,
0.4729651
],
[
0.0507924,
0.0523848,
0.0569517,
0.0695927,
0.1047979,
0.2024482,
0.4727665
],
[
0.0639869,
0.0653415,
0.0691888,
0.0801966,
0.1142534,
0.2088404,
0.4764693
]
]
}
},
{
"fall_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.01061201,
0.02938148,
0.08134852,
0.2252297
],
"values": [
[
0.0012446,
-0.0003964,
-0.0050359,
-0.0178686,
-0.0535061,
-0.1520856,
-0.4255515
],
[
0.0004539,
-0.0011695,
-0.0056618,
-0.0182189,
-0.0534582,
-0.1518387,
-0.4251825
],
[
-0.0002585,
-0.0020155,
-0.0062473,
-0.018706,
-0.053769,
-0.1517022,
-0.4248574
],
[
0.0002223,
-0.0013651,
-0.0057442,
-0.0179536,
-0.0536109,
-0.1516341,
-0.4246346
],
[
0.0034105,
0.0015585,
-0.0034258,
-0.0167197,
-0.0524566,
-0.1513007,
-0.4240767
],
[
0.0135387,
0.0120299,
0.005948,
-0.0087072,
-0.047001,
-0.147766,
-0.4226768
],
[
0.0417948,
0.0394432,
0.0331584,
0.0169482,
-0.02287,
-0.1335233,
-0.4136382
]
]
},
"related_pin": "C1",
"rise_power power_outputs_1": {
"index_1": [
0.01,
0.02305058,
0.05313293,
0.1224745,
0.2823108,
0.6507428,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.01061201,
0.02938148,
0.08134852,
0.2252297
],
"values": [
[
0.0328205,
0.034667,
0.0397816,
0.0534953,
0.0899946,
0.1880558,
0.4594278
],
[
0.0320021,
0.0338303,
0.0387201,
0.0519508,
0.0886531,
0.1874685,
0.4611802
],
[
0.0318381,
0.0335573,
0.0382714,
0.0512432,
0.087373,
0.1869897,
0.459579
],
[
0.0330274,
0.0346577,
0.0390402,
0.0516822,
0.0871287,
0.1859029,
0.4564395
],
[
0.0370097,
0.0385727,
0.0429423,
0.0552521,
0.0895396,
0.1860475,
0.4587911
],
[
0.0490558,
0.0504415,
0.0544894,
0.0632297,
0.0966297,
0.1906448,
0.4596081
],
[
0.0711864,
0.0721778,
0.0751655,
0.0852232,
0.1166741,
0.2100724,
0.4679044
]
]
}
}
],
"max_capacitance": 0.22523,
"max_transition": 1.497607,
"output_voltage": "GENERAL",
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
13.2455,
1.20574,
0.253308,
0.249364,
0.245356,
0.243271,
0.241119,
0.238888,
0.236559,
0.234108,
0.2315,
0.228677,
0.225549,
0.221941,
0.217467,
0.211128,
0.20138,
0.187488,
0.169542,
0.147913,
0.123054,
0.0954293,
0.0654679,
0.03355,
-5.01936e-08,
-0.0702212,
-0.142972,
-0.402318,
-5.0321
],
[
13.246,
1.20632,
0.253937,
0.250006,
0.24601,
0.243931,
0.241785,
0.239558,
0.237235,
0.234789,
0.232185,
0.229367,
0.226243,
0.222639,
0.218169,
0.211839,
0.202105,
0.18823,
0.170292,
0.148651,
0.123748,
0.0960356,
0.0659341,
0.0338158,
-4.61118e-08,
-0.0709033,
-0.144499,
-0.406416,
-5.03248
],
[
13.2414,
1.21864,
0.247083,
0.24335,
0.239559,
0.237585,
0.235546,
0.233431,
0.231222,
0.228896,
0.226418,
0.223736,
0.22076,
0.217324,
0.213052,
0.206968,
0.197555,
0.184094,
0.166658,
0.145586,
0.121297,
0.0942193,
0.0647506,
0.0332432,
-4.67492e-08,
-0.0698833,
-0.142638,
-0.403782,
-5.03228
],
[
13.2445,
1.29421,
0.241617,
0.238037,
0.234405,
0.232512,
0.230557,
0.228527,
0.226408,
0.224175,
0.221796,
0.219219,
0.216358,
0.213053,
0.208936,
0.203043,
0.193882,
0.180748,
0.163708,
0.143085,
0.119284,
0.0927152,
0.0637599,
0.0327575,
-4.98142e-08,
-0.0689887,
-0.140965,
-0.401095,
-5.03206
],
[
13.2481,
1.41569,
0.232966,
0.229534,
0.226157,
0.224396,
0.222575,
0.220685,
0.218709,
0.216626,
0.214405,
0.211997,
0.209323,
0.206228,
0.202359,
0.196778,
0.188029,
0.175428,
0.15903,
0.139133,
0.116113,
0.0903515,
0.0622068,
0.0319974,
-5.24076e-08,
-0.0675991,
-0.138377,
-0.396956,
-5.03172
],
[
13.2492,
1.47292,
0.22797,
0.223372,
0.220184,
0.218519,
0.216798,
0.215009,
0.213138,
0.211166,
0.209061,
0.206777,
0.204239,
0.201298,
0.197612,
0.192261,
0.183818,
0.171614,
0.15569,
0.136325,
0.11387,
0.0886884,
0.0611188,
0.0314671,
-4.09798e-08,
-0.0666429,
-0.136611,
-0.394181,
-5.0315
],
[
13.2496,
1.52358,
0.229046,
0.215245,
0.2123,
0.210766,
0.209178,
0.207526,
0.205797,
0.203972,
0.202022,
0.199905,
0.197548,
0.194813,
0.191372,
0.186332,
0.178305,
0.16664,
0.151356,
0.1327,
0.110992,
0.0865647,
0.0597365,
0.0307963,
-8.85066e-08,
-0.0654521,
-0.134431,
-0.390826,
-5.03123
],
[
13.2485,
1.56877,
0.246311,
0.204382,
0.201572,
0.200221,
0.198819,
0.197359,
0.195827,
0.194207,
0.192474,
0.190588,
0.188483,
0.186033,
0.182932,
0.178329,
0.170895,
0.159993,
0.145606,
0.127928,
0.107232,
0.0838111,
0.0579564,
0.0299375,
-1.24121e-06,
-0.0639624,
-0.131736,
-0.38679,
-5.03091
],
[
13.2443,
1.60735,
0.277551,
0.19118,
0.186283,
0.185201,
0.184076,
0.182897,
0.181656,
0.180338,
0.178922,
0.177375,
0.175639,
0.173607,
0.171006,
0.167055,
0.160521,
0.150772,
0.137714,
0.121451,
0.102181,
0.080146,
0.055602,
0.0287992,
-2.70833e-05,
-0.0621103,
-0.128419,
-0.381997,
-5.03063
],
[
13.2335,
1.63563,
0.310665,
0.181125,
0.163118,
0.162401,
0.161672,
0.160903,
0.160086,
0.159211,
0.158262,
0.157216,
0.15603,
0.154624,
0.152789,
0.14988,
0.144832,
0.136978,
0.126045,
0.11196,
0.094801,
0.0747404,
0.0520014,
0.0268243,
-0.000553402,
-0.0603004,
-0.12489,
-0.377095,
-5.03159
],
[
13.2172,
1.65294,
0.337297,
0.181327,
0.129939,
0.128818,
0.128111,
0.127392,
0.126651,
0.125881,
0.125075,
0.124222,
0.123301,
0.122273,
0.121023,
0.119165,
0.116035,
0.111059,
0.103569,
0.0929481,
0.0789476,
0.0616449,
0.0412673,
0.0180906,
-0.00760622,
-0.0649415,
-0.128071,
-0.380891,
-5.04645
],
[
13.2003,
1.66488,
0.358573,
0.190188,
0.0934156,
0.0863917,
0.0837316,
0.0812762,
0.0788748,
0.0765018,
0.0741464,
0.0718002,
0.0694514,
0.0670772,
0.0646063,
0.0617694,
0.0581832,
0.0537274,
0.0483335,
0.0415601,
0.0320553,
0.0185626,
0.0010665,
-0.0199913,
-0.0441641,
-0.100087,
-0.163239,
-0.4225,
-5.11117
],
[
13.1848,
1.67367,
0.37238,
0.19495,
0.0591403,
0.0303305,
0.0213359,
0.0151625,
0.00954767,
0.00419251,
-0.000990871,
-0.00604148,
-0.0109837,
-0.0158418,
-0.0206748,
-0.0257233,
-0.0312915,
-0.0373767,
-0.0438593,
-0.0506703,
-0.057916,
-0.0664785,
-0.0789769,
-0.0965261,
-0.118396,
-0.172739,
-0.236568,
-0.505877,
-5.22516
],
[
13.1726,
1.68059,
0.378164,
0.191888,
0.0306478,
-0.0260918,
-0.0546977,
-0.0671206,
-0.0762545,
-0.0844217,
-0.092123,
-0.099532,
-0.106728,
-0.113758,
-0.120681,
-0.127728,
-0.135146,
-0.142849,
-0.150668,
-0.158502,
-0.166315,
-0.174142,
-0.182359,
-0.193698,
-0.211014,
-0.261753,
-0.325692,
-0.605629,
-5.35675
],
[
13.1668,
1.68924,
0.38241,
0.188859,
0.0113061,
-0.0634538,
-0.118617,
-0.147785,
-0.162794,
-0.17411,
-0.184126,
-0.193498,
-0.202475,
-0.211178,
-0.219695,
-0.228245,
-0.236972,
-0.245727,
-0.254391,
-0.26292,
-0.271304,
-0.279549,
-0.287678,
-0.295918,
-0.307279,
-0.351363,
-0.414441,
-0.704882,
-5.48665
],
[
13.1694,
1.70271,
0.39061,
0.191286,
0.00264612,
-0.0827483,
-0.155549,
-0.20916,
-0.238488,
-0.255326,
-0.268293,
-0.279724,
-0.290361,
-0.300513,
-0.310352,
-0.32006,
-0.329653,
-0.339083,
-0.348349,
-0.357462,
-0.366435,
-0.375278,
-0.384005,
-0.392637,
-0.401795,
-0.43644,
-0.497288,
-0.797886,
-5.60782
],
[
13.1766,
1.71801,
0.400999,
0.197068,
0.000496035,
-0.0916506,
-0.175045,
-0.246108,
-0.297652,
-0.326815,
-0.344941,
-0.359176,
-0.371718,
-0.383353,
-0.394441,
-0.405169,
-0.415615,
-0.425834,
-0.435867,
-0.445741,
-0.455478,
-0.465091,
-0.474596,
-0.484003,
-0.49343,
-0.519394,
-0.57507,
-0.884571,
-5.71912
],
[
13.184,
1.73139,
0.410211,
0.202571,
9.78525e-05,
-0.096659,
-0.186871,
-0.268502,
-0.337551,
-0.386652,
-0.415485,
-0.434513,
-0.449718,
-0.463139,
-0.475571,
-0.487378,
-0.498753,
-0.509812,
-0.520624,
-0.53124,
-0.54169,
-0.552,
-0.562188,
-0.572268,
-0.582275,
-0.603948,
-0.650233,
-0.966584,
-5.82155
],
[
13.1903,
1.74228,
0.417679,
0.207019,
1.99535e-05,
-0.100062,
-0.194963,
-0.283415,
-0.363235,
-0.429814,
-0.476386,
-0.504806,
-0.524456,
-0.540402,
-0.554511,
-0.567565,
-0.57994,
-0.591846,
-0.603405,
-0.614698,
-0.625778,
-0.636681,
-0.647435,
-0.658062,
-0.668582,
-0.689707,
-0.72481,
-1.04542,
-5.91644
],
[
13.1955,
1.75113,
0.4237,
0.210559,
3.85765e-06,
-0.102574,
-0.200868,
-0.294028,
-0.380736,
-0.458501,
-0.52222,
-0.566365,
-0.594324,
-0.614385,
-0.63088,
-0.64552,
-0.659061,
-0.671883,
-0.684201,
-0.696147,
-0.707804,
-0.719231,
-0.730467,
-0.741543,
-0.752483,
-0.774099,
-0.800789,
-1.12192,
-6.00466
],
[
13.1998,
1.75838,
0.428598,
0.213402,
6.11612e-07,
-0.104507,
-0.205353,
-0.301929,
-0.393366,
-0.478217,
-0.55358,
-0.614219,
-0.656107,
-0.683559,
-0.703857,
-0.720738,
-0.735767,
-0.749667,
-0.762816,
-0.775432,
-0.787649,
-0.799556,
-0.811212,
-0.822662,
-0.833937,
-0.856074,
-0.879086,
-1.19622,
-6.08633
],
[
13.2032,
1.76438,
0.432622,
0.215711,
9.4432e-08,
-0.106034,
-0.208854,
-0.308003,
-0.402866,
-0.492515,
-0.575289,
-0.647895,
-0.705386,
-0.74517,
-0.772057,
-0.792427,
-0.809533,
-0.824802,
-0.838924,
-0.852267,
-0.86505,
-0.877407,
-0.889429,
-0.901177,
-0.912697,
-0.93518,
-0.957342,
-1.26782,
-6.16086
],
[
13.206,
1.7694,
0.435957,
0.217608,
4.8837e-08,
-0.107262,
-0.211643,
-0.312786,
-0.410229,
-0.503324,
-0.591037,
-0.671433,
-0.740976,
-0.795318,
-0.833091,
-0.85931,
-0.879566,
-0.896707,
-0.912038,
-0.926206,
-0.93957,
-0.952342,
-0.964659,
-0.97661,
-0.988256,
-1.01081,
-1.03262,
-1.33574,
-6.22745
],
[
13.2083,
1.77361,
0.43874,
0.219178,
4.44762e-08,
-0.108264,
-0.213901,
-0.316622,
-0.416064,
-0.511743,
-0.602952,
-0.688495,
-0.766151,
-0.832363,
-0.883522,
-0.919263,
-0.944627,
-0.964517,
-0.981439,
-0.996578,
-1.01054,
-1.02367,
-1.03618,
-1.04819,
-1.0598,
-1.08204,
-1.10324,
-1.39881,
-6.28564
],
[
13.2102,
1.77716,
0.441072,
0.220487,
4.59392e-08,
-0.109088,
-0.21575,
-0.319742,
-0.420767,
-0.518444,
-0.612249,
-0.701373,
-0.784411,
-0.85889,
-0.921462,
-0.969257,
-1.00277,
-1.02696,
-1.04612,
-1.06246,
-1.07706,
-1.09047,
-1.10303,
-1.11493,
-1.12631,
-1.14782,
-1.16802,
-1.45614,
-6.33522
],
[
13.2131,
1.78268,
0.444686,
0.222503,
4.30336e-08,
-0.110344,
-0.218551,
-0.324436,
-0.427779,
-0.528311,
-0.625686,
-0.719423,
-0.808796,
-0.892613,
-0.968907,
-1.03503,
-1.08856,
-1.1282,
-1.15599,
-1.17644,
-1.1928,
-1.20674,
-1.21912,
-1.2304,
-1.24085,
-1.25994,
-1.2772,
-1.55114,
-6.40929
],
[
13.215,
1.78659,
0.447249,
0.223926,
4.807e-08,
-0.111223,
-0.220506,
-0.327697,
-0.432617,
-0.535049,
-0.634723,
-0.731272,
-0.824169,
-0.912592,
-0.995238,
-1.0702,
-1.13531,
-1.18892,
-1.23037,
-1.26006,
-1.28078,
-1.29614,
-1.30842,
-1.3188,
-1.32792,
-1.34365,
-1.35716,
-1.61914,
-6.46091
],
[
13.2173,
1.79214,
0.45107,
0.226059,
6.41957e-08,
-0.112561,
-0.223512,
-0.33274,
-0.44011,
-0.545462,
-0.648588,
-0.749194,
-0.846832,
-0.940751,
-1.0297,
-1.11192,
-1.18566,
-1.24979,
-1.30369,
-1.34714,
-1.38145,
-1.40886,
-1.43105,
-1.44893,
-1.4628,
-1.4811,
-1.49304,
-1.74705,
-6.57347
],
[
13.2154,
1.79076,
0.451111,
0.226195,
1.56576e-07,
-0.1128,
-0.224229,
-0.334186,
-0.442558,
-0.549198,
-0.653914,
-0.75642,
-0.856251,
-0.952581,
-1.04398,
-1.12842,
-1.20397,
-1.26944,
-1.32397,
-1.36768,
-1.40299,
-1.43276,
-1.45894,
-1.48267,
-1.50463,
-1.54471,
-1.58075,
-1.88799,
-6.7256
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000709865,
"miller_cap_rise": 0.00122235,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.0173279
],
"index_3": [
0.014864,
0.0283375,
0.0439629,
0.0633799,
0.1033337
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0519837
],
"index_3": [
0.0220126,
0.0505638,
0.0814442,
0.1181613,
0.1874931
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0173279
],
"index_3": [
0.065087,
0.0872833,
0.1036389,
0.1217224,
0.1599242
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0519837
],
"index_3": [
0.0772037,
0.1094022,
0.1396072,
0.1760068,
0.2450999
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00347934
],
"index_3": [
0.083785,
0.1050696,
0.1262374,
0.1555048,
0.238264
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.01
],
"index_2": [
0.010438
],
"index_3": [
0.0917387,
0.1253707,
0.1595417,
0.2040123,
0.3094455
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00347934
],
"index_3": [
0.1457844,
0.1678189,
0.1893474,
0.2185303,
0.3005035
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.010438
],
"index_3": [
0.1543731,
0.188563,
0.2228664,
0.267125,
0.372934
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.891932
],
"index_2": [
1.2448
],
"index_3": [
0.0173279
],
"index_4": [
0.598367,
0.6470885,
0.7318142,
0.8357853,
0.9274166
],
"values": [
1.67749,
1.51398,
1.40497,
1.51398,
1.67749
]
},
{
"index_1": [
1.00658
],
"index_2": [
0.678041
],
"index_3": [
0.0173279
],
"index_4": [
0.3272026,
0.3626043,
0.4275323,
0.5121044,
0.5940545
],
"values": [
1.62193,
1.42508,
1.29385,
1.42508,
1.62193
]
},
{
"index_1": [
1.12435
],
"index_2": [
0.435103
],
"index_3": [
0.0173279
],
"index_4": [
0.2100671,
0.2376601,
0.2892032,
0.3625048,
0.4375127
],
"values": [
1.59198,
1.37717,
1.23396,
1.37717,
1.59198
]
},
{
"index_1": [
0.891932
],
"index_2": [
0.622398
],
"index_3": [
0.0173279
],
"index_4": [
0.3005172,
0.3248722,
0.3664171,
0.4405467,
0.5222859
],
"values": [
1.79418,
1.70068,
1.63835,
1.70068,
1.79418
]
},
{
"index_1": [
1.12435
],
"index_2": [
0.217551
],
"index_3": [
0.0173279
],
"index_4": [
0.1060446,
0.1189358,
0.1447551,
0.1942576,
0.2622681
],
"values": [
1.75797,
1.64276,
1.56595,
1.64276,
1.75797
]
},
{
"index_1": [
1.00658
],
"index_2": [
0.678041
],
"index_3": [
0.0519837
],
"index_4": [
0.3321019,
0.37025,
0.4315341,
0.5481542,
0.676735
],
"values": [
1.74854,
1.62766,
1.54708,
1.62766,
1.74854
]
},
{
"index_1": [
1.12435
],
"index_2": [
0.435103
],
"index_3": [
0.0519837
],
"index_4": [
0.2138547,
0.243759,
0.3010635,
0.3967473,
0.513896
],
"values": [
1.7348,
1.60567,
1.51959,
1.60567,
1.7348
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.1224
],
"index_2": [
6.19617
],
"index_3": [
0.00347934
],
"index_4": [
3.0494856,
3.1011059,
3.1556598,
3.2565087,
3.3793894
],
"values": [
0.0368859,
0.0590174,
0.0737717,
0.0590174,
0.0368859
]
},
{
"index_1": [
1.19477
],
"index_2": [
3.59663
],
"index_3": [
0.00347934
],
"index_4": [
1.8054835,
1.8394088,
1.8858332,
1.9395041,
1.9801684
],
"values": [
0.117115,
0.187384,
0.234231,
0.187384,
0.117115
]
},
{
"index_1": [
1.2591
],
"index_2": [
2.41734
],
"index_3": [
0.00347934
],
"index_4": [
1.2341459,
1.2668664,
1.3167131,
1.3688247,
1.4028689
],
"values": [
0.235138,
0.376221,
0.470277,
0.376221,
0.235138
]
},
{
"index_1": [
1.1224
],
"index_2": [
3.09809
],
"index_3": [
0.00347934
],
"index_4": [
1.6210904,
1.6434216,
1.6802566,
1.7484795,
1.8388337
],
"values": [
0.0184243,
0.0294788,
0.0368486,
0.0294788,
0.0184243
]
},
{
"index_1": [
1.2591
],
"index_2": [
1.20867
],
"index_3": [
0.00347934
],
"index_4": [
0.6615231,
0.6749926,
0.6915685,
0.7378171,
0.7820589
],
"values": [
0.036824,
0.0589184,
0.073648,
0.0589184,
0.036824
]
},
{
"index_1": [
1.19477
],
"index_2": [
3.59663
],
"index_3": [
0.010438
],
"index_4": [
1.8084973,
1.8456948,
1.908107,
1.964163,
2.0157072
],
"values": [
0.0895394,
0.143263,
0.179079,
0.143263,
0.0895394
]
},
{
"index_1": [
1.2591
],
"index_2": [
2.41734
],
"index_3": [
0.010438
],
"index_4": [
1.2394011,
1.2752865,
1.3299897,
1.3845121,
1.4226897
],
"values": [
0.165112,
0.264178,
0.330223,
0.264178,
0.165112
]
}
]
},
"stage_type": "both"
},
"cell_fall del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.010612,
0.0293815,
0.0813485,
0.22523
],
"values": [
[
0.018075,
0.0191982,
0.0223393,
0.0304243,
0.0510114,
0.1027021,
0.2385332
],
[
0.0220734,
0.0231208,
0.0260291,
0.033849,
0.0541074,
0.1056091,
0.2408865
],
[
0.0290915,
0.0304257,
0.0339035,
0.0424153,
0.0618108,
0.1129634,
0.2479394
],
[
0.0350904,
0.0369116,
0.0418102,
0.0533022,
0.0781345,
0.1301074,
0.2644725
],
[
0.0326259,
0.035107,
0.041629,
0.0577088,
0.09305,
0.1641006,
0.3049217
],
[
-7.55e-05,
0.003519,
0.0126622,
0.0351748,
0.0858574,
0.1888566,
0.3834467
],
[
-0.1131315,
-0.1087703,
-0.0951029,
-0.0628853,
0.0086334,
0.1591338,
0.4425261
]
]
},
"cell_rise del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.010612,
0.0293815,
0.0813485,
0.22523
],
"values": [
[
0.1057787,
0.1103615,
0.1225279,
0.1548179,
0.240451,
0.4745487,
1.1199071
],
[
0.1091863,
0.11376,
0.1261795,
0.1589233,
0.2457506,
0.4795319,
1.125581
],
[
0.1206476,
0.1250736,
0.1372551,
0.169782,
0.2568087,
0.4915156,
1.144677
],
[
0.1473331,
0.1515566,
0.1637995,
0.1954922,
0.2823788,
0.5176394,
1.164365
],
[
0.1976479,
0.2028867,
0.2159933,
0.2498245,
0.3366377,
0.5736282,
1.2235729
],
[
0.280891,
0.2874624,
0.3044452,
0.3476125,
0.4470291,
0.6881258,
1.338482
],
[
0.4319716,
0.4410728,
0.4651475,
0.5230753,
0.6533478,
0.9388035,
1.6023389
]
]
},
"fall_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.010612,
0.0293815,
0.0813485,
0.22523
],
"values": [
[
0.0240633,
0.0256215,
0.0298333,
0.0409031,
0.0691674,
0.1431904,
0.347252
],
[
0.0244595,
0.0258087,
0.0295659,
0.0401228,
0.0685231,
0.1429005,
0.3473812
],
[
0.0302119,
0.031506,
0.0346369,
0.0435519,
0.0689317,
0.1425111,
0.3471959
],
[
0.0409002,
0.0425194,
0.04687,
0.0568253,
0.0812869,
0.1461098,
0.3464618
],
[
0.0622683,
0.0642453,
0.0695313,
0.0821285,
0.110927,
0.1773919,
0.3566261
],
[
0.0998474,
0.102703,
0.1101129,
0.12745,
0.1651721,
0.2463653,
0.4293879
],
[
0.1686464,
0.172639,
0.1831333,
0.2093062,
0.2643988,
0.3734506,
0.5955629
]
]
},
"related_pin": "A1",
"rise_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.010612,
0.0293815,
0.0813485,
0.22523
],
"values": [
[
0.070353,
0.0758059,
0.0905407,
0.1331319,
0.2506644,
0.5779374,
1.4796466
],
[
0.0707008,
0.076024,
0.0908415,
0.1331927,
0.2516949,
0.5765395,
1.479585
],
[
0.0709543,
0.0763511,
0.0909787,
0.1331387,
0.2514928,
0.5807828,
1.4822155
],
[
0.0715982,
0.0768621,
0.091709,
0.133631,
0.2517205,
0.5765791,
1.4781623
],
[
0.0831779,
0.0879249,
0.1010799,
0.1397144,
0.2531077,
0.5786244,
1.4820316
],
[
0.1157758,
0.1205507,
0.1338567,
0.1730521,
0.2785669,
0.5852668,
1.478012
],
[
0.1963456,
0.2009807,
0.2131835,
0.251303,
0.3597356,
0.65363,
1.4961435
]
]
},
"timing_sense": "negative_unate",
"timing_type": "combinational"
},
{
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
13.2934,
1.25596,
0.283509,
0.27891,
0.274219,
0.271779,
0.269261,
0.266649,
0.263923,
0.261054,
0.258,
0.254696,
0.251034,
0.246821,
0.241634,
0.234437,
0.223611,
0.208315,
0.188587,
0.164774,
0.137322,
0.106697,
0.0733424,
0.0376601,
-6.15646e-08,
-0.0790566,
-0.161104,
-0.442627,
-5.03587
],
[
13.2941,
1.25683,
0.284422,
0.279835,
0.275155,
0.27272,
0.270206,
0.267599,
0.264877,
0.262012,
0.258961,
0.255659,
0.251999,
0.247787,
0.2426,
0.235407,
0.224591,
0.209304,
0.189574,
0.165733,
0.138215,
0.107471,
0.0739342,
0.0379963,
-6.02866e-08,
-0.0799051,
-0.162983,
-0.447827,
-5.0364
],
[
13.285,
1.25905,
0.276279,
0.271938,
0.267512,
0.265207,
0.262827,
0.260357,
0.257777,
0.25506,
0.252165,
0.24903,
0.245554,
0.241548,
0.236602,
0.229704,
0.219265,
0.204461,
0.185312,
0.162131,
0.135325,
0.105322,
0.072529,
0.0373138,
-6.11379e-08,
-0.0786759,
-0.16072,
-0.444325,
-5.03611
],
[
13.2805,
1.30994,
0.269827,
0.265674,
0.261442,
0.259236,
0.256958,
0.254594,
0.252123,
0.24952,
0.246745,
0.24374,
0.240404,
0.236557,
0.231799,
0.225129,
0.214984,
0.200556,
0.181861,
0.159198,
0.132956,
0.103545,
0.0713531,
0.0367346,
-5.69979e-08,
-0.0775981,
-0.158691,
-0.440823,
-5.03579
],
[
13.2752,
1.40567,
0.259699,
0.255762,
0.251837,
0.24979,
0.247675,
0.245477,
0.243179,
0.240757,
0.238173,
0.235371,
0.232259,
0.228664,
0.224202,
0.217897,
0.208224,
0.194402,
0.176435,
0.154598,
0.129249,
0.100769,
0.0695201,
0.0358329,
-5.927e-08,
-0.0759316,
-0.155569,
-0.435463,
-5.03532
],
[
13.2712,
1.44952,
0.253524,
0.248668,
0.244966,
0.243034,
0.241036,
0.238958,
0.236786,
0.234493,
0.232047,
0.229392,
0.226441,
0.223027,
0.218779,
0.212739,
0.203412,
0.190033,
0.172598,
0.151357,
0.126649,
0.0988303,
0.0682447,
0.0352076,
-5.75217e-08,
-0.0747907,
-0.153449,
-0.43189,
-5.035
],
[
13.2655,
1.48864,
0.252076,
0.239422,
0.236006,
0.234226,
0.232382,
0.230465,
0.228456,
0.226336,
0.22407,
0.22161,
0.21887,
0.215695,
0.211729,
0.206042,
0.197177,
0.184392,
0.167663,
0.14721,
0.123337,
0.0963718,
0.0666345,
0.0344214,
-8.34408e-08,
-0.0733764,
-0.150842,
-0.427586,
-5.03462
],
[
13.2569,
1.52344,
0.26367,
0.227201,
0.224008,
0.222435,
0.220803,
0.219103,
0.217319,
0.215433,
0.213414,
0.211216,
0.208765,
0.205914,
0.202332,
0.19713,
0.188909,
0.176949,
0.161192,
0.141807,
0.119052,
0.0932127,
0.0645782,
0.0334228,
-6.76802e-07,
-0.0716163,
-0.147634,
-0.422431,
-5.03417
],
[
13.2433,
1.55238,
0.285879,
0.212119,
0.207288,
0.206011,
0.204683,
0.203294,
0.201832,
0.200279,
0.198611,
0.196788,
0.194745,
0.192356,
0.189323,
0.184824,
0.177553,
0.166804,
0.152453,
0.134584,
0.113378,
0.089066,
0.0618985,
0.0321254,
-1.39904e-05,
-0.069424,
-0.143688,
-0.416297,
-5.03366
],
[
13.2214,
1.57203,
0.308558,
0.198583,
0.182704,
0.181829,
0.180932,
0.179986,
0.178983,
0.177909,
0.176746,
0.175465,
0.174015,
0.172301,
0.170083,
0.166666,
0.160901,
0.152077,
0.13992,
0.12434,
0.105396,
0.0832433,
0.0580898,
0.0301673,
-0.00028938,
-0.0669143,
-0.13906,
-0.40928,
-5.03334
],
[
13.1927,
1.58134,
0.324421,
0.19151,
0.147459,
0.146373,
0.145624,
0.144855,
0.144056,
0.143219,
0.142334,
0.141387,
0.14035,
0.139173,
0.137716,
0.135538,
0.131907,
0.126234,
0.117768,
0.105949,
0.0905503,
0.0716169,
0.0493378,
0.0239612,
-0.00425,
-0.0673494,
-0.136975,
-0.40476,
-5.03691
],
[
13.1642,
1.58695,
0.336544,
0.191266,
0.107445,
0.10107,
0.0984955,
0.0960665,
0.0936533,
0.0912347,
0.0888028,
0.0863522,
0.0838766,
0.0813654,
0.0787885,
0.0760505,
0.0732044,
0.0698371,
0.0653251,
0.0589552,
0.0493402,
0.0355226,
0.0175303,
-0.00426199,
-0.0294556,
-0.0881917,
-0.154991,
-0.420838,
-5.06342
],
[
13.1402,
1.59386,
0.347637,
0.191995,
0.0693496,
0.0408175,
0.0309058,
0.0239193,
0.0174723,
0.0112478,
0.00516487,
-0.000794533,
-0.00661177,
-0.0122187,
-0.0174496,
-0.0219842,
-0.0253889,
-0.0284542,
-0.0318747,
-0.0357552,
-0.040349,
-0.0469642,
-0.0581526,
-0.0745692,
-0.0955247,
-0.148828,
-0.212599,
-0.477664,
-5.13403
],
[
13.1238,
1.60508,
0.357021,
0.188583,
0.0372352,
-0.0206069,
-0.0551878,
-0.0717494,
-0.0835303,
-0.0939258,
-0.103663,
-0.112946,
-0.121797,
-0.130107,
-0.137582,
-0.143714,
-0.147957,
-0.151229,
-0.154376,
-0.157547,
-0.160775,
-0.164156,
-0.168391,
-0.176763,
-0.191411,
-0.237495,
-0.297863,
-0.563305,
-5.23618
],
[
13.118,
1.62305,
0.365614,
0.18528,
0.0147097,
-0.0610057,
-0.123057,
-0.164558,
-0.187577,
-0.203561,
-0.217028,
-0.229205,
-0.240447,
-0.250752,
-0.25983,
-0.267091,
-0.271957,
-0.275356,
-0.278232,
-0.280869,
-0.283358,
-0.285744,
-0.288081,
-0.290823,
-0.29767,
-0.334016,
-0.390452,
-0.657498,
-5.34879
],
[
13.1241,
1.64827,
0.377129,
0.187464,
0.00375796,
-0.0822603,
-0.159709,
-0.225168,
-0.272237,
-0.300989,
-0.32072,
-0.33666,
-0.350519,
-0.362776,
-0.373307,
-0.381495,
-0.386776,
-0.390208,
-0.39288,
-0.395199,
-0.397316,
-0.399298,
-0.401183,
-0.403014,
-0.405701,
-0.430028,
-0.481814,
-0.751871,
-5.46281
],
[
13.1373,
1.67576,
0.3906,
0.193768,
0.00071668,
-0.0918293,
-0.178239,
-0.256939,
-0.324693,
-0.375948,
-0.409695,
-0.43286,
-0.450865,
-0.46579,
-0.478104,
-0.487344,
-0.49323,
-0.497049,
-0.499973,
-0.502476,
-0.504744,
-0.506863,
-0.508877,
-0.510816,
-0.512848,
-0.526038,
-0.571104,
-0.844803,
-5.57569
],
[
13.1518,
1.69992,
0.402489,
0.200079,
0.000138669,
-0.0968776,
-0.189082,
-0.275591,
-0.354891,
-0.423953,
-0.478219,
-0.516206,
-0.542497,
-0.562101,
-0.577183,
-0.588045,
-0.594978,
-0.599548,
-0.603043,
-0.606011,
-0.608681,
-0.611162,
-0.61351,
-0.61576,
-0.617961,
-0.62484,
-0.659462,
-0.93634,
-5.68667
],
[
13.1649,
1.7194,
0.412029,
0.205205,
2.81841e-05,
-0.100224,
-0.196463,
-0.288105,
-0.374289,
-0.453515,
-0.52302,
-0.579184,
-0.620439,
-0.649254,
-0.669484,
-0.683221,
-0.691873,
-0.6976,
-0.701956,
-0.705619,
-0.708881,
-0.711885,
-0.714707,
-0.717395,
-0.719981,
-0.725421,
-0.747655,
-1.02657,
-5.79528
],
[
13.1762,
1.73479,
0.41963,
0.209262,
5.56349e-06,
-0.102684,
-0.20188,
-0.297147,
-0.387901,
-0.473258,
-0.551714,
-0.62077,
-0.677506,
-0.720349,
-0.750093,
-0.769295,
-0.781049,
-0.788745,
-0.79452,
-0.799303,
-0.803503,
-0.80732,
-0.810868,
-0.814213,
-0.8174,
-0.823505,
-0.836242,
-1.11519,
-5.90102
],
[
13.1859,
1.74701,
0.425748,
0.2125,
9.34539e-07,
-0.104579,
-0.206023,
-0.303977,
-0.39799,
-0.48744,
-0.571376,
-0.648232,
-0.715613,
-0.770822,
-0.812157,
-0.839891,
-0.85701,
-0.868179,
-0.876457,
-0.883204,
-0.889032,
-0.89425,
-0.899029,
-0.903477,
-0.907664,
-0.915451,
-0.924462,
-1.2011,
-6.00313
],
[
13.1939,
1.75686,
0.430731,
0.215116,
1.32746e-07,
-0.106079,
-0.209278,
-0.309293,
-0.405743,
-0.498129,
-0.585739,
-0.667453,
-0.741439,
-0.804984,
-0.855267,
-0.891002,
-0.914229,
-0.929924,
-0.94176,
-0.951469,
-0.959858,
-0.967343,
-0.974161,
-0.98046,
-0.986339,
-0.997102,
-1.00721,
-1.28202,
-6.10037
],
[
13.2005,
1.76492,
0.43483,
0.217255,
5.09149e-08,
-0.107287,
-0.211887,
-0.313522,
-0.411855,
-0.50645,
-0.596703,
-0.681687,
-0.759856,
-0.828662,
-0.88492,
-0.926397,
-0.954366,
-0.973863,
-0.988912,
-1.00148,
-1.01251,
-1.02247,
-1.03165,
-1.04022,
-1.04828,
-1.06316,
-1.07676,
-1.35398,
-6.19063
],
[
13.2059,
1.77159,
0.438232,
0.21902,
4.31125e-08,
-0.108274,
-0.214007,
-0.316942,
-0.416766,
-0.513077,
-0.605325,
-0.692667,
-0.773664,
-0.845846,
-0.905925,
-0.95123,
-0.982525,
-1.0048,
-1.02226,
-1.03702,
-1.05011,
-1.06205,
-1.07316,
-1.08362,
-1.09356,
-1.11217,
-1.12944,
-1.41221,
-6.27033
],
[
13.2102,
1.77716,
0.441072,
0.220487,
4.34832e-08,
-0.109088,
-0.21575,
-0.319742,
-0.420767,
-0.518444,
-0.612249,
-0.701373,
-0.784411,
-0.85889,
-0.921462,
-0.969257,
-1.00277,
-1.02696,
-1.04612,
-1.06246,
-1.07706,
-1.09047,
-1.10303,
-1.11493,
-1.12631,
-1.14782,
-1.16802,
-1.45614,
-6.33522
],
[
13.2167,
1.78581,
0.44546,
0.222743,
4.4558e-08,
-0.110329,
-0.2184,
-0.323984,
-0.4268,
-0.526489,
-0.622547,
-0.714181,
-0.79997,
-0.877366,
-0.942911,
-0.993557,
-1.02959,
-1.05596,
-1.07707,
-1.09524,
-1.11161,
-1.12676,
-1.14107,
-1.15473,
-1.1679,
-1.19308,
-1.21712,
-1.51397,
-6.42227
],
[
13.2212,
1.79199,
0.448577,
0.224335,
4.63663e-08,
-0.111199,
-0.220255,
-0.326947,
-0.431003,
-0.532069,
-0.629648,
-0.72294,
-0.810486,
-0.889657,
-0.956909,
-1.00911,
-1.04648,
-1.07398,
-1.0961,
-1.1152,
-1.13244,
-1.14846,
-1.16362,
-1.17814,
-1.19217,
-1.21916,
-1.2451,
-1.54762,
-6.47191
],
[
13.2278,
1.80161,
0.45335,
0.226752,
6.31559e-08,
-0.112519,
-0.223092,
-0.331506,
-0.437495,
-0.540709,
-0.640645,
-0.736476,
-0.826659,
-0.908407,
-0.978052,
-1.03237,
-1.07151,
-1.10048,
-1.12388,
-1.14412,
-1.16244,
-1.17949,
-1.19565,
-1.21115,
-1.22617,
-1.25512,
-1.28307,
-1.59205,
-6.53115
],
[
13.2289,
1.80316,
0.454011,
0.227056,
1.57731e-07,
-0.112731,
-0.223665,
-0.33259,
-0.439242,
-0.543268,
-0.644158,
-0.741066,
-0.832402,
-0.915299,
-0.986015,
-1.04127,
-1.08119,
-1.1108,
-1.13474,
-1.15548,
-1.17425,
-1.19173,
-1.20831,
-1.22422,
-1.23964,
-1.26938,
-1.29812,
-1.60955,
-6.55344
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000123523,
"miller_cap_rise": 0.000525969,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.0173279
],
"index_3": [
0.0189743,
0.0325377,
0.0481841,
0.0675168,
0.1072772
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0519837
],
"index_3": [
0.0261482,
0.0547301,
0.0856949,
0.1222496,
0.1913398
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0173279
],
"index_3": [
0.0705283,
0.089969,
0.1057892,
0.1242544,
0.1630776
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0519837
],
"index_3": [
0.0813611,
0.1120348,
0.1425315,
0.1789238,
0.2480596
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00387442
],
"index_3": [
0.0809959,
0.1107597,
0.1398647,
0.1725728,
0.2456503
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0116233
],
"index_3": [
0.088629,
0.1304711,
0.1724618,
0.2203486,
0.3169468
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00387442
],
"index_3": [
0.1448098,
0.175052,
0.204428,
0.2374403,
0.3101689
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0116233
],
"index_3": [
0.1530466,
0.1952105,
0.237596,
0.2856651,
0.3819465
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.908562
],
"index_2": [
1.50095
],
"index_3": [
0.0173279
],
"index_4": [
0.7355609,
0.789412,
0.8808545,
0.9940154,
1.08479
],
"values": [
1.6298,
1.43768,
1.3096,
1.43768,
1.6298
]
},
{
"index_1": [
1.0113
],
"index_2": [
0.826655
],
"index_3": [
0.0173279
],
"index_4": [
0.4097272,
0.4499833,
0.5283996,
0.6121384,
0.6902043
],
"values": [
1.56178,
1.32885,
1.17356,
1.32885,
1.56178
]
},
{
"index_1": [
1.11329
],
"index_2": [
0.536674
],
"index_3": [
0.0173279
],
"index_4": [
0.268158,
0.3001145,
0.359186,
0.4350932,
0.5055139
],
"values": [
1.52261,
1.26618,
1.09523,
1.26618,
1.52261
]
},
{
"index_1": [
0.908562
],
"index_2": [
0.750473
],
"index_3": [
0.0173279
],
"index_4": [
0.3712627,
0.3970744,
0.4506498,
0.5210451,
0.5972197
],
"values": [
1.78192,
1.68108,
1.61385,
1.68108,
1.78192
]
},
{
"index_1": [
1.11329
],
"index_2": [
0.268337
],
"index_3": [
0.0173279
],
"index_4": [
0.1364711,
0.1507814,
0.1798016,
0.2307281,
0.2954194
],
"values": [
1.74147,
1.61635,
1.53293,
1.61635,
1.74147
]
},
{
"index_1": [
1.0113
],
"index_2": [
0.826655
],
"index_3": [
0.0519837
],
"index_4": [
0.4126845,
0.4548823,
0.533064,
0.6432442,
0.7624158
],
"values": [
1.72355,
1.58768,
1.49709,
1.58768,
1.72355
]
},
{
"index_1": [
1.11329
],
"index_2": [
0.536674
],
"index_3": [
0.0519837
],
"index_4": [
0.270484,
0.3039814,
0.3678765,
0.4643559,
0.5748255
],
"values": [
1.70847,
1.56355,
1.46694,
1.56355,
1.70847
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.11237
],
"index_2": [
6.71532
],
"index_3": [
0.00387442
],
"index_4": [
3.2680363,
3.3488473,
3.4206845,
3.5232476,
3.6577548
],
"values": [
0.0409985,
0.0655976,
0.081997,
0.0655976,
0.0409985
]
},
{
"index_1": [
1.18587
],
"index_2": [
3.90841
],
"index_3": [
0.00387442
],
"index_4": [
1.9468812,
1.9889938,
2.0446571,
2.1103854,
2.1595694
],
"values": [
0.12234,
0.195744,
0.24468,
0.195744,
0.12234
]
},
{
"index_1": [
1.25033
],
"index_2": [
2.64238
],
"index_3": [
0.00387442
],
"index_4": [
1.3373752,
1.3780001,
1.4327067,
1.4985148,
1.5379867
],
"values": [
0.2339,
0.37424,
0.467801,
0.37424,
0.2339
]
},
{
"index_1": [
1.11237
],
"index_2": [
3.35766
],
"index_3": [
0.00387442
],
"index_4": [
1.7254327,
1.7474332,
1.7780867,
1.8646551,
1.9519304
],
"values": [
0.024566,
0.0393056,
0.049132,
0.0393056,
0.024566
]
},
{
"index_1": [
1.25033
],
"index_2": [
1.32119
],
"index_3": [
0.00387442
],
"index_4": [
0.7083956,
0.7259237,
0.7546378,
0.7904783,
0.8295909
],
"values": [
0.0538241,
0.0861185,
0.107648,
0.0861185,
0.0538241
]
},
{
"index_1": [
1.18587
],
"index_2": [
3.90841
],
"index_3": [
0.0116233
],
"index_4": [
1.9482926,
1.9943934,
2.0522607,
2.1292565,
2.1867577
],
"values": [
0.0997246,
0.159559,
0.199449,
0.159559,
0.0997246
]
},
{
"index_1": [
1.25033
],
"index_2": [
2.64238
],
"index_3": [
0.0116233
],
"index_4": [
1.3413508,
1.3837588,
1.4393967,
1.5133814,
1.5570073
],
"values": [
0.177759,
0.284415,
0.355519,
0.284415,
0.177759
]
}
]
},
"stage_type": "both"
},
"cell_fall del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.010612,
0.0293815,
0.0813485,
0.22523
],
"values": [
[
0.0221701,
0.0233571,
0.0264293,
0.0346012,
0.0551326,
0.1069023,
0.2423902
],
[
0.0256084,
0.0267363,
0.0297294,
0.0376808,
0.0580187,
0.1094985,
0.2451136
],
[
0.0319221,
0.0332035,
0.0364823,
0.0446541,
0.0646557,
0.1161757,
0.2514253
],
[
0.0383316,
0.0400065,
0.0443517,
0.0547217,
0.0782898,
0.1308071,
0.2662626
],
[
0.0373067,
0.0395955,
0.0456462,
0.0602791,
0.0928349,
0.1587177,
0.2998853
],
[
0.008675,
0.0118697,
0.0203787,
0.041507,
0.0884584,
0.1834525,
0.3642791
],
[
-0.095566,
-0.0909758,
-0.0790478,
-0.0484512,
0.01984,
0.1590455,
0.4203397
]
]
},
"cell_rise del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.010612,
0.0293815,
0.0813485,
0.22523
],
"values": [
[
0.1201194,
0.1239603,
0.1344065,
0.1628478,
0.2402617,
0.450267,
1.0364415
],
[
0.1241316,
0.1280196,
0.138553,
0.1672294,
0.2449968,
0.4552594,
1.0407978
],
[
0.1357266,
0.1395493,
0.150285,
0.1791588,
0.2563399,
0.4679641,
1.0502331
],
[
0.1625084,
0.1662418,
0.1767839,
0.205314,
0.2826507,
0.4941109,
1.0760567
],
[
0.2143649,
0.2186654,
0.2300805,
0.2596907,
0.3374333,
0.5481571,
1.1301406
],
[
0.300552,
0.3058957,
0.3201044,
0.3566844,
0.4463972,
0.6634291,
1.2487517
],
[
0.4576392,
0.4646852,
0.4835472,
0.5325252,
0.6460678,
0.9055973,
1.5071835
]
]
},
"fall_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.010612,
0.0293815,
0.0813485,
0.22523
],
"values": [
[
0.0242727,
0.0257892,
0.029888,
0.0408672,
0.0691033,
0.1431721,
0.3472277
],
[
0.0241918,
0.0257284,
0.0296292,
0.0402894,
0.0687109,
0.1430473,
0.3470015
],
[
0.02824,
0.0294214,
0.0329303,
0.0422408,
0.0688206,
0.1426205,
0.3471881
],
[
0.0389248,
0.0402432,
0.0437211,
0.0526129,
0.0770243,
0.1452672,
0.3469984
],
[
0.0587291,
0.0603206,
0.06445,
0.0750239,
0.1014604,
0.1661628,
0.3529532
],
[
0.0943883,
0.0965028,
0.1019302,
0.1155593,
0.14787,
0.2223395,
0.4042377
],
[
0.1586694,
0.1614273,
0.169295,
0.1895093,
0.2333379,
0.3286037,
0.5349634
]
]
},
"related_pin": "A2",
"rise_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.010612,
0.0293815,
0.0813485,
0.22523
],
"values": [
[
0.0822914,
0.0873808,
0.1009212,
0.1390988,
0.2459248,
0.5382881,
1.3532214
],
[
0.0823743,
0.0874578,
0.1009837,
0.1392012,
0.2459317,
0.5383332,
1.3535838
],
[
0.0824941,
0.0873803,
0.1010812,
0.139364,
0.2457085,
0.5401332,
1.3538273
],
[
0.0828884,
0.0877442,
0.1013922,
0.1392946,
0.245855,
0.5395066,
1.3514895
],
[
0.0922581,
0.0967543,
0.1091281,
0.1448549,
0.2471863,
0.5403241,
1.3528881
],
[
0.1234145,
0.1280593,
0.1410397,
0.1769039,
0.2736046,
0.5488196,
1.3522435
],
[
0.198291,
0.2028954,
0.2163737,
0.2546414,
0.3545697,
0.6201744,
1.3736733
]
]
},
"timing_sense": "negative_unate",
"timing_type": "combinational"
},
{
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
13.2607,
1.19285,
0.268707,
0.263929,
0.259091,
0.256589,
0.25402,
0.251366,
0.24861,
0.245721,
0.242661,
0.239367,
0.235734,
0.231573,
0.226459,
0.219326,
0.208546,
0.193401,
0.1741,
0.151151,
0.125125,
0.0965626,
0.0659381,
0.0336447,
-4.95325e-08,
-0.0696678,
-0.141094,
-0.396527,
-5.03188
],
[
13.2578,
1.19063,
0.26689,
0.262244,
0.257537,
0.255102,
0.252598,
0.250012,
0.247323,
0.244504,
0.241516,
0.238296,
0.234743,
0.230669,
0.225656,
0.218653,
0.208044,
0.19311,
0.174034,
0.151292,
0.125423,
0.0969424,
0.0663029,
0.0338852,
-5.15023e-08,
-0.0704442,
-0.142981,
-0.401955,
-5.03236
],
[
13.2494,
1.20241,
0.254998,
0.250806,
0.24656,
0.244359,
0.242093,
0.239749,
0.237309,
0.234747,
0.232028,
0.229093,
0.225849,
0.222119,
0.217508,
0.211001,
0.201039,
0.186919,
0.168787,
0.147057,
0.122204,
0.0946905,
0.0649271,
0.0332657,
-5.52598e-08,
-0.0696023,
-0.141779,
-0.401947,
-5.0324
],
[
13.2509,
1.29255,
0.24585,
0.242006,
0.23811,
0.236087,
0.234002,
0.231842,
0.229591,
0.227225,
0.22471,
0.221992,
0.218981,
0.215513,
0.211208,
0.205081,
0.195616,
0.182123,
0.164712,
0.143751,
0.119671,
0.0928953,
0.0638099,
0.0327497,
-6.06379e-08,
-0.0688197,
-0.14051,
-0.400809,
-5.03233
],
[
13.2464,
1.43536,
0.231044,
0.227672,
0.224361,
0.222634,
0.220848,
0.218994,
0.217056,
0.215013,
0.212834,
0.210473,
0.207848,
0.20481,
0.201009,
0.195516,
0.186887,
0.174443,
0.158227,
0.138522,
0.115685,
0.0900826,
0.062064,
0.031944,
-5.38861e-08,
-0.0675932,
-0.138498,
-0.398775,
-5.03219
],
[
13.2394,
1.50489,
0.221395,
0.21705,
0.214181,
0.212678,
0.211119,
0.209495,
0.207793,
0.205993,
0.204067,
0.201973,
0.199637,
0.196922,
0.193501,
0.18849,
0.180505,
0.168866,
0.153554,
0.134784,
0.112857,
0.0881004,
0.0608408,
0.031382,
-4.7587e-08,
-0.0667467,
-0.137111,
-0.397325,
-5.03209
],
[
13.2276,
1.56616,
0.216698,
0.20279,
0.200509,
0.199309,
0.198057,
0.196746,
0.195363,
0.193892,
0.192309,
0.190577,
0.188633,
0.186355,
0.183452,
0.179107,
0.172022,
0.161501,
0.147432,
0.129927,
0.10921,
0.085563,
0.0592846,
0.030671,
-1.05438e-07,
-0.0656916,
-0.135391,
-0.395521,
-5.03197
],
[
13.2102,
1.61713,
0.229152,
0.183547,
0.181801,
0.180995,
0.180145,
0.179246,
0.178288,
0.177258,
0.176137,
0.174895,
0.173483,
0.171804,
0.169616,
0.166217,
0.160434,
0.15153,
0.139228,
0.123486,
0.104424,
0.0822631,
0.0572775,
0.0297602,
-1.29504e-06,
-0.0643713,
-0.133259,
-0.393299,
-5.03182
],
[
13.1895,
1.65923,
0.259845,
0.161119,
0.15725,
0.156781,
0.156291,
0.155774,
0.155226,
0.154637,
0.153996,
0.153284,
0.15247,
0.151492,
0.150185,
0.148039,
0.144116,
0.137598,
0.1279,
0.114706,
0.0979776,
0.0778637,
0.0546207,
0.0285523,
-2.83745e-05,
-0.0627337,
-0.130637,
-0.390629,
-5.03171
],
[
13.1677,
1.69542,
0.30059,
0.148167,
0.129444,
0.129084,
0.128737,
0.128378,
0.128003,
0.127608,
0.127186,
0.12673,
0.126222,
0.125628,
0.124857,
0.123597,
0.12133,
0.117569,
0.111477,
0.102016,
0.0886685,
0.0714444,
0.0505952,
0.0264649,
-0.000577414,
-0.0612192,
-0.12799,
-0.388236,
-5.03294
],
[
13.1459,
1.72619,
0.343859,
0.158613,
0.101071,
0.10003,
0.0994288,
0.0988281,
0.0982169,
0.0975918,
0.0969492,
0.0962832,
0.095584,
0.0948298,
0.0939534,
0.0927096,
0.0907629,
0.0880122,
0.084322,
0.0789476,
0.0701651,
0.0568874,
0.0391817,
0.0174684,
-0.00777347,
-0.0663485,
-0.132369,
-0.395037,
-5.04813
],
[
13.1247,
1.75015,
0.382728,
0.183749,
0.073589,
0.06644,
0.0638002,
0.0613825,
0.0590345,
0.05673,
0.0544589,
0.0522136,
0.0499854,
0.0477572,
0.0454729,
0.0429053,
0.039783,
0.0361223,
0.0320073,
0.0273988,
0.0217739,
0.0130473,
-0.00083054,
-0.0198192,
-0.0432857,
-0.10068,
-0.167371,
-0.437835,
-5.10975
],
[
13.1049,
1.76657,
0.409647,
0.201986,
0.0482416,
0.0191873,
0.0106689,
0.00474321,
-0.000639089,
-0.00575322,
-0.0106821,
-0.0154631,
-0.0201196,
-0.0246745,
-0.0291823,
-0.0338727,
-0.0389794,
-0.0444135,
-0.0500377,
-0.0557899,
-0.061706,
-0.0681341,
-0.0772236,
-0.0921217,
-0.112893,
-0.168738,
-0.236636,
-0.517931,
-5.21461
],
[
13.0877,
1.77787,
0.424371,
0.208336,
0.0265736,
-0.0320866,
-0.0577315,
-0.068818,
-0.0772779,
-0.0849068,
-0.0921087,
-0.0990286,
-0.105734,
-0.112268,
-0.118688,
-0.125232,
-0.132079,
-0.13907,
-0.146065,
-0.153004,
-0.159879,
-0.16672,
-0.173758,
-0.183239,
-0.199281,
-0.251565,
-0.320251,
-0.613193,
-5.33584
],
[
13.0756,
1.78902,
0.433822,
0.210733,
0.0107768,
-0.0688074,
-0.121277,
-0.14489,
-0.157692,
-0.167872,
-0.177047,
-0.185686,
-0.193979,
-0.20202,
-0.209891,
-0.217826,
-0.225883,
-0.233892,
-0.241775,
-0.249511,
-0.2571,
-0.264551,
-0.271885,
-0.2793,
-0.289745,
-0.335269,
-0.404003,
-0.709172,
-5.45742
],
[
13.0707,
1.80193,
0.444082,
0.21528,
0.0027768,
-0.089801,
-0.163499,
-0.209891,
-0.231993,
-0.245879,
-0.257264,
-0.267557,
-0.277243,
-0.286536,
-0.295575,
-0.304524,
-0.313342,
-0.321993,
-0.330479,
-0.338813,
-0.347005,
-0.355064,
-0.363002,
-0.370842,
-0.379201,
-0.414304,
-0.481546,
-0.799743,
-5.5727
],
[
13.0715,
1.81654,
0.455648,
0.222171,
0.000537426,
-0.100503,
-0.187914,
-0.255812,
-0.296171,
-0.317203,
-0.331789,
-0.344045,
-0.355181,
-0.365669,
-0.375753,
-0.385548,
-0.395105,
-0.404462,
-0.41365,
-0.42269,
-0.431597,
-0.440384,
-0.44906,
-0.457639,
-0.466238,
-0.491152,
-0.552176,
-0.883002,
-5.67967
],
[
13.0739,
1.82972,
0.465974,
0.228643,
0.000105927,
-0.1068,
-0.203287,
-0.285776,
-0.347154,
-0.382283,
-0.402535,
-0.417571,
-0.430457,
-0.442232,
-0.453342,
-0.464002,
-0.474335,
-0.484417,
-0.494296,
-0.504005,
-0.513569,
-0.523003,
-0.532324,
-0.541544,
-0.550694,
-0.570729,
-0.619646,
-0.959062,
-5.7783
],
[
13.0762,
1.84065,
0.474444,
0.233919,
2.15015e-05,
-0.111153,
-0.213958,
-0.306106,
-0.383272,
-0.43763,
-0.468683,
-0.488323,
-0.50363,
-0.51696,
-0.529203,
-0.540767,
-0.551867,
-0.562627,
-0.573125,
-0.583412,
-0.593524,
-0.603487,
-0.613321,
-0.623041,
-0.632667,
-0.65204,
-0.687184,
-1.02952,
-5.86908
],
[
13.0782,
1.84963,
0.481341,
0.238154,
4.1407e-06,
-0.114395,
-0.221796,
-0.320647,
-0.408316,
-0.479388,
-0.526993,
-0.554997,
-0.574113,
-0.589553,
-0.603172,
-0.61574,
-0.62763,
-0.639046,
-0.650111,
-0.660903,
-0.671476,
-0.681866,
-0.6921,
-0.702199,
-0.712182,
-0.731935,
-0.757192,
-1.09613,
-5.95235
],
[
13.0799,
1.85704,
0.487,
0.24158,
6.39572e-07,
-0.116903,
-0.22777,
-0.331483,
-0.426327,
-0.509023,
-0.573385,
-0.615061,
-0.640757,
-0.659379,
-0.674827,
-0.688597,
-0.701354,
-0.713437,
-0.725041,
-0.736282,
-0.747238,
-0.757962,
-0.76849,
-0.778851,
-0.789067,
-0.809154,
-0.830223,
-1.15957,
-6.02799
],
[
13.0813,
1.86321,
0.491681,
0.244383,
7.71459e-08,
-0.118891,
-0.232443,
-0.339812,
-0.439797,
-0.530346,
-0.607369,
-0.664886,
-0.701594,
-0.725451,
-0.743549,
-0.758869,
-0.772636,
-0.785425,
-0.797546,
-0.809178,
-0.820433,
-0.831386,
-0.842089,
-0.852578,
-0.862882,
-0.883022,
-0.902941,
-1.21984,
-6.09596
],
[
13.0825,
1.86759,
0.495583,
0.246696,
2.66778e-08,
-0.120495,
-0.236172,
-0.346367,
-0.450185,
-0.546242,
-0.631964,
-0.702674,
-0.753597,
-0.786165,
-0.808416,
-0.825883,
-0.84089,
-0.854449,
-0.867064,
-0.879012,
-0.890459,
-0.901512,
-0.912243,
-0.922701,
-0.932924,
-0.952774,
-0.972043,
-1.27674,
-6.15658
],
[
13.0834,
1.87193,
0.498853,
0.24862,
2.45021e-08,
-0.121806,
-0.239194,
-0.351622,
-0.458386,
-0.558477,
-0.650197,
-0.73036,
-0.794319,
-0.839022,
-0.868013,
-0.888682,
-0.905318,
-0.919761,
-0.932855,
-0.945039,
-0.956562,
-0.967581,
-0.978194,
-0.988472,
-0.998464,
-1.01773,
-1.03624,
-1.33018,
-6.21013
],
[
13.0842,
1.87635,
0.501604,
0.250229,
2.53324e-08,
-0.122888,
-0.241672,
-0.355894,
-0.464976,
-0.568131,
-0.664138,
-0.750831,
-0.824572,
-0.881447,
-0.920272,
-0.945996,
-0.964984,
-0.980557,
-0.994183,
-1.00657,
-1.0181,
-1.02899,
-1.03938,
-1.04938,
-1.05904,
-1.07753,
-1.09514,
-1.37996,
-6.25643
],
[
13.0853,
1.88199,
0.50588,
0.252716,
2.58642e-08,
-0.124539,
-0.245431,
-0.36232,
-0.474772,
-0.582222,
-0.683866,
-0.778422,
-0.863761,
-0.936893,
-0.995225,
-1.03766,
-1.06614,
-1.08607,
-1.10157,
-1.11464,
-1.1262,
-1.13674,
-1.14655,
-1.15578,
-1.16455,
-1.18098,
-1.19622,
-1.46597,
-6.32593
],
[
13.0861,
1.88512,
0.508917,
0.254477,
2.7407e-08,
-0.125699,
-0.248064,
-0.366792,
-0.481521,
-0.59178,
-0.696903,
-0.795832,
-0.886873,
-0.967822,
-1.03681,
-1.09289,
-1.13574,
-1.16578,
-1.18613,
-1.20096,
-1.21278,
-1.22281,
-1.23165,
-1.23964,
-1.24698,
-1.26021,
-1.27199,
-1.52936,
-6.37268
],
[
13.0868,
1.89092,
0.513387,
0.257108,
4.80781e-08,
-0.127478,
-0.252145,
-0.373764,
-0.492038,
-0.60657,
-0.716745,
-0.821469,
-0.918884,
-1.00689,
-1.08452,
-1.15174,
-1.20828,
-1.25357,
-1.28835,
-1.31511,
-1.33612,
-1.35252,
-1.3648,
-1.37376,
-1.38059,
-1.39103,
-1.39943,
-1.64742,
-6.47265
],
[
13.0854,
1.88741,
0.51311,
0.257196,
1.60246e-07,
-0.127826,
-0.25323,
-0.375993,
-0.495841,
-0.612382,
-0.724973,
-0.832394,
-0.932497,
-1.02295,
-1.10279,
-1.1719,
-1.22953,
-1.27497,
-1.31026,
-1.33902,
-1.36383,
-1.38609,
-1.40657,
-1.42573,
-1.44381,
-1.4771,
-1.50632,
-1.78461,
-6.61287
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.00110955,
"miller_cap_rise": 0.00165764,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.0162929
],
"index_3": [
0.0165611,
0.0295318,
0.0422713,
0.0559744,
0.0801451
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0488787
],
"index_3": [
0.0237376,
0.0493489,
0.0754172,
0.1045218,
0.1572848
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0162929
],
"index_3": [
0.0672088,
0.0864863,
0.1004305,
0.1138683,
0.1379439
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0488787
],
"index_3": [
0.0783488,
0.1072942,
0.1332625,
0.1622981,
0.2150686
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.0034517
],
"index_3": [
0.0583639,
0.0780874,
0.098286,
0.1257698,
0.1935284
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0103551
],
"index_3": [
0.06604,
0.0980723,
0.1312615,
0.1739515,
0.2661351
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0034517
],
"index_3": [
0.1188934,
0.1389741,
0.1593916,
0.186828,
0.254554
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0103551
],
"index_3": [
0.1269024,
0.159123,
0.1926414,
0.2351294,
0.3271379
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.891001
],
"index_2": [
1.17759
],
"index_3": [
0.0162929
],
"index_4": [
0.5762769,
0.6227803,
0.7023973,
0.7970928,
0.8771071
],
"values": [
1.60949,
1.40519,
1.26898,
1.40519,
1.60949
]
},
{
"index_1": [
1.00548
],
"index_2": [
0.644942
],
"index_3": [
0.0162929
],
"index_4": [
0.3186399,
0.3530309,
0.4004242,
0.4949495,
0.5653158
],
"values": [
1.54154,
1.29647,
1.13308,
1.29647,
1.54154
]
},
{
"index_1": [
1.12225
],
"index_2": [
0.415598
],
"index_3": [
0.0162929
],
"index_4": [
0.2081343,
0.2362746,
0.2866283,
0.35224,
0.4164555
],
"values": [
1.50152,
1.23242,
1.05303,
1.23242,
1.50152
]
},
{
"index_1": [
0.891001
],
"index_2": [
0.588797
],
"index_3": [
0.0162929
],
"index_4": [
0.2913777,
0.3161936,
0.3591109,
0.4207929,
0.4915504
],
"values": [
1.76543,
1.65468,
1.58085,
1.65468,
1.76543
]
},
{
"index_1": [
1.12225
],
"index_2": [
0.207799
],
"index_3": [
0.0162929
],
"index_4": [
0.106403,
0.1210619,
0.1433412,
0.198884,
0.2534366
],
"values": [
1.72828,
1.59524,
1.50655,
1.59524,
1.72828
]
},
{
"index_1": [
1.00548
],
"index_2": [
0.644942
],
"index_3": [
0.0488787
],
"index_4": [
0.3220551,
0.3589988,
0.4289237,
0.521076,
0.6421119
],
"values": [
1.72594,
1.5915,
1.50188,
1.5915,
1.72594
]
},
{
"index_1": [
1.12225
],
"index_2": [
0.415598
],
"index_3": [
0.0488787
],
"index_4": [
0.2091626,
0.2380701,
0.2931926,
0.3788829,
0.4866293
],
"values": [
1.71088,
1.5674,
1.47175,
1.5674,
1.71088
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.15484
],
"index_2": [
4.15084
],
"index_3": [
0.0034517
],
"index_4": [
2.0168316,
2.0761942,
2.1117278,
2.1686196,
2.2268652
],
"values": [
0.0526227,
0.0841963,
0.105245,
0.0841963,
0.0526227
]
},
{
"index_1": [
1.24334
],
"index_2": [
2.38511
],
"index_3": [
0.0034517
],
"index_4": [
1.1852704,
1.2183887,
1.2611156,
1.3194684,
1.3525002
],
"values": [
0.221278,
0.354045,
0.442556,
0.354045,
0.221278
]
},
{
"index_1": [
1.32481
],
"index_2": [
1.58686
],
"index_3": [
0.0034517
],
"index_4": [
0.796591,
0.8300364,
0.8739834,
0.9306672,
0.9624076
],
"values": [
0.384791,
0.615665,
0.769581,
0.615665,
0.384791
]
},
{
"index_1": [
1.15484
],
"index_2": [
2.07542
],
"index_3": [
0.0034517
],
"index_4": [
1.0382606,
1.0546532,
1.0802598,
1.1181277,
1.16012
],
"values": [
0.0381044,
0.060967,
0.0762088,
0.060967,
0.0381044
]
},
{
"index_1": [
1.32481
],
"index_2": [
0.793429
],
"index_3": [
0.0034517
],
"index_4": [
0.4177328,
0.432797,
0.4530188,
0.4777261,
0.4944507
],
"values": [
0.139433,
0.223093,
0.278866,
0.223093,
0.139433
]
},
{
"index_1": [
1.24334
],
"index_2": [
2.38511
],
"index_3": [
0.0103551
],
"index_4": [
1.1864817,
1.2233897,
1.2748753,
1.3333817,
1.3707324
],
"values": [
0.15736,
0.251775,
0.314719,
0.251775,
0.15736
]
},
{
"index_1": [
1.32481
],
"index_2": [
1.58686
],
"index_3": [
0.0103551
],
"index_4": [
0.7997502,
0.8361846,
0.892199,
0.9433223,
0.9774181
],
"values": [
0.255396,
0.408634,
0.510792,
0.408634,
0.255396
]
}
]
},
"stage_type": "both"
},
"cell_fall del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.010612,
0.0293815,
0.0813485,
0.22523
],
"values": [
[
0.0200652,
0.0211879,
0.0240866,
0.0316456,
0.0510682,
0.1024782,
0.2427097
],
[
0.0233726,
0.024421,
0.0272667,
0.034732,
0.0541548,
0.1053128,
0.2450317
],
[
0.0294304,
0.0307612,
0.0341669,
0.042264,
0.0614532,
0.1126637,
0.2521734
],
[
0.032682,
0.0346613,
0.0394773,
0.0511034,
0.0766467,
0.1298198,
0.2696801
],
[
0.0246368,
0.0273116,
0.0342891,
0.0512888,
0.0887523,
0.1630623,
0.3093683
],
[
-0.0166648,
-0.0128348,
-0.0026638,
0.0219867,
0.0770509,
0.1863784,
0.3893811
],
[
-0.1433594,
-0.1377973,
-0.1231559,
-0.0875173,
-0.0064915,
0.1532916,
0.4530202
]
]
},
"cell_rise del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.010612,
0.0293815,
0.0813485,
0.22523
],
"values": [
[
0.0779074,
0.0823315,
0.094797,
0.1273992,
0.2133793,
0.4488893,
1.0986738
],
[
0.080743,
0.0852413,
0.0973076,
0.130038,
0.2182582,
0.4548695,
1.1059732
],
[
0.0916675,
0.0957516,
0.1076711,
0.1401806,
0.2275351,
0.4650016,
1.1162058
],
[
0.1172588,
0.1216563,
0.133346,
0.1649526,
0.2518841,
0.4893048,
1.1492488
],
[
0.162718,
0.1681808,
0.1828988,
0.220035,
0.3088628,
0.5458218,
1.2067175
],
[
0.2428499,
0.2509874,
0.2718932,
0.3216636,
0.4336519,
0.6797102,
1.3315476
],
[
0.426002,
0.4359275,
0.4619771,
0.5266654,
0.6715629,
0.9790968,
1.6506089
]
]
},
"fall_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.010612,
0.0293815,
0.0813485,
0.22523
],
"values": [
[
0.0195084,
0.0207742,
0.0241995,
0.0335897,
0.0595562,
0.1323954,
0.3356573
],
[
0.019417,
0.0205791,
0.0238575,
0.033172,
0.0594578,
0.1324082,
0.3352349
],
[
0.024329,
0.025589,
0.0287013,
0.0365089,
0.0603553,
0.1324198,
0.3352784
],
[
0.0341672,
0.0357,
0.0397628,
0.0499231,
0.0733451,
0.1363359,
0.3355056
],
[
0.0527527,
0.0549157,
0.0606001,
0.0737163,
0.1042181,
0.1694253,
0.3445046
],
[
0.0856397,
0.0890162,
0.0972587,
0.1169684,
0.1580309,
0.2425807,
0.4197853
],
[
0.1476042,
0.1526485,
0.1652818,
0.1945063,
0.2556331,
0.373826,
0.6002059
]
]
},
"related_pin": "B1",
"rise_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.010612,
0.0293815,
0.0813485,
0.22523
],
"values": [
[
0.0630486,
0.0686936,
0.0838443,
0.12696,
0.2457499,
0.5726433,
1.4778908
],
[
0.0631499,
0.0685924,
0.0839313,
0.1267849,
0.2462298,
0.5738263,
1.4792406
],
[
0.0632112,
0.0685736,
0.0839638,
0.1269384,
0.2457045,
0.5740916,
1.476803
],
[
0.0659969,
0.0712852,
0.085459,
0.1270006,
0.2459399,
0.5725425,
1.4876205
],
[
0.0853141,
0.0908656,
0.1044135,
0.1404427,
0.2504532,
0.5737691,
1.4835967
],
[
0.1243574,
0.130165,
0.1455465,
0.1861756,
0.2902924,
0.5834436,
1.4790862
],
[
0.1934022,
0.1996861,
0.217109,
0.2652415,
0.3859734,
0.6767797,
1.4976073
]
]
},
"timing_sense": "negative_unate",
"timing_type": "combinational"
},
{
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
13.3229,
1.2594,
0.295869,
0.290524,
0.285091,
0.282279,
0.279388,
0.2764,
0.273293,
0.270036,
0.266581,
0.262858,
0.258752,
0.254052,
0.248308,
0.240426,
0.228724,
0.212373,
0.191515,
0.166622,
0.138249,
0.106942,
0.0731958,
0.0374317,
-5.90457e-08,
-0.0777673,
-0.157641,
-0.431933,
-5.03496
],
[
13.3198,
1.25711,
0.294036,
0.288838,
0.283552,
0.280814,
0.277996,
0.275083,
0.272052,
0.268872,
0.265496,
0.261856,
0.257838,
0.253234,
0.247599,
0.239858,
0.228337,
0.212209,
0.191587,
0.166907,
0.138692,
0.107457,
0.0736712,
0.0377388,
-5.36139e-08,
-0.0787268,
-0.159944,
-0.438893,
-5.03564
],
[
13.3031,
1.25463,
0.280654,
0.275972,
0.271209,
0.268737,
0.266191,
0.263554,
0.260806,
0.25792,
0.254851,
0.251537,
0.247871,
0.24366,
0.23848,
0.231294,
0.220484,
0.205244,
0.185654,
0.162088,
0.135002,
0.104855,
0.0720679,
0.0370105,
-5.96197e-08,
-0.0777081,
-0.158449,
-0.438646,
-5.03567
],
[
13.2928,
1.3068,
0.270455,
0.266161,
0.261791,
0.259519,
0.257175,
0.254746,
0.252211,
0.249544,
0.246705,
0.243635,
0.240233,
0.236315,
0.231478,
0.224711,
0.21444,
0.199876,
0.181066,
0.158339,
0.132105,
0.102784,
0.070767,
0.0364039,
-6.11242e-08,
-0.0767644,
-0.156891,
-0.437036,
-5.03556
],
[
13.2766,
1.41154,
0.254158,
0.250395,
0.246668,
0.244722,
0.242709,
0.240616,
0.238427,
0.236117,
0.233651,
0.230976,
0.228001,
0.22456,
0.220278,
0.214199,
0.204821,
0.191371,
0.173836,
0.152463,
0.127586,
0.0995649,
0.0687489,
0.0354631,
-6.72387e-08,
-0.0752955,
-0.154443,
-0.434234,
-5.03535
],
[
13.2641,
1.46167,
0.243535,
0.238875,
0.235625,
0.233921,
0.232153,
0.23031,
0.228377,
0.226332,
0.224142,
0.221758,
0.219098,
0.216009,
0.212138,
0.206574,
0.197871,
0.185263,
0.168681,
0.148303,
0.124409,
0.0973157,
0.0673464,
0.034812,
-5.85427e-08,
-0.0742887,
-0.152767,
-0.432263,
-5.0352
],
[
13.247,
1.5041,
0.237108,
0.223603,
0.22098,
0.219599,
0.21816,
0.216651,
0.215059,
0.213367,
0.211545,
0.20955,
0.207312,
0.204692,
0.201375,
0.19651,
0.188737,
0.177283,
0.161993,
0.142947,
0.120348,
0.0944592,
0.0655755,
0.0339939,
-1.022e-07,
-0.0730415,
-0.150702,
-0.429828,
-5.03501
],
[
13.2243,
1.53868,
0.244078,
0.203234,
0.201205,
0.200244,
0.199233,
0.198163,
0.197024,
0.1958,
0.194468,
0.192995,
0.191322,
0.189338,
0.186774,
0.182887,
0.176435,
0.166621,
0.153139,
0.135925,
0.115073,
0.0907813,
0.0633131,
0.0329557,
-8.40134e-07,
-0.0714916,
-0.148159,
-0.426851,
-5.03478
],
[
13.1981,
1.56682,
0.263368,
0.179177,
0.175228,
0.174659,
0.174062,
0.173432,
0.17276,
0.172036,
0.171247,
0.170367,
0.169359,
0.168145,
0.166535,
0.163959,
0.159388,
0.151961,
0.141101,
0.126491,
0.108066,
0.0859452,
0.0603619,
0.031605,
-1.7501e-05,
-0.0695684,
-0.145033,
-0.423252,
-5.03451
],
[
13.1707,
1.5917,
0.289484,
0.162746,
0.145296,
0.144879,
0.144472,
0.144048,
0.143605,
0.143136,
0.142634,
0.142087,
0.141475,
0.140757,
0.139822,
0.13832,
0.135637,
0.13116,
0.123992,
0.113169,
0.0982253,
0.0791437,
0.0561364,
0.0295182,
-0.000358545,
-0.0674632,
-0.141479,
-0.419237,
-5.03455
],
[
13.1437,
1.61523,
0.318676,
0.165145,
0.114425,
0.113357,
0.112719,
0.112077,
0.111421,
0.110747,
0.11005,
0.109324,
0.108555,
0.10772,
0.106743,
0.105372,
0.103301,
0.100458,
0.0964625,
0.0903208,
0.0803294,
0.0655992,
0.046198,
0.0224979,
-0.00505732,
-0.0690734,
-0.141382,
-0.418854,
-5.03922
],
[
13.1181,
1.63829,
0.34828,
0.180671,
0.084335,
0.0770343,
0.0742214,
0.0716074,
0.0690353,
0.0664803,
0.0639349,
0.0613962,
0.058863,
0.0563364,
0.0538164,
0.0512934,
0.0489719,
0.0465301,
0.043585,
0.0399772,
0.0349114,
0.0260691,
0.0117493,
-0.00792645,
-0.0323774,
-0.0925815,
-0.163035,
-0.440924,
-5.06832
],
[
13.0951,
1.66134,
0.375299,
0.195806,
0.0564105,
0.0252469,
0.0148675,
0.00772859,
0.00121173,
-0.00502982,
-0.0110851,
-0.0169741,
-0.0226757,
-0.028111,
-0.0330824,
-0.0372039,
-0.0401112,
-0.0426736,
-0.0453963,
-0.0483316,
-0.051577,
-0.0557274,
-0.063437,
-0.0774174,
-0.0975335,
-0.152898,
-0.221391,
-0.50086,
-5.13799
],
[
13.0759,
1.6846,
0.396342,
0.202904,
0.0322645,
-0.0297647,
-0.063889,
-0.0795412,
-0.090776,
-0.100696,
-0.10996,
-0.118748,
-0.127066,
-0.13477,
-0.141507,
-0.146677,
-0.150086,
-0.152853,
-0.155509,
-0.158146,
-0.160798,
-0.163537,
-0.166807,
-0.173577,
-0.187461,
-0.236174,
-0.302411,
-0.584591,
-5.23373
],
[
13.0626,
1.70857,
0.41205,
0.205872,
0.0140964,
-0.0678698,
-0.13085,
-0.168368,
-0.188406,
-0.202808,
-0.215135,
-0.226314,
-0.236579,
-0.24583,
-0.253637,
-0.259304,
-0.262942,
-0.265725,
-0.26819,
-0.270488,
-0.272677,
-0.274788,
-0.276869,
-0.279331,
-0.285908,
-0.325206,
-0.388723,
-0.674969,
-5.33807
],
[
13.0572,
1.73454,
0.426836,
0.21053,
0.00405119,
-0.0899677,
-0.171128,
-0.23439,
-0.274387,
-0.297828,
-0.314646,
-0.328606,
-0.340799,
-0.351392,
-0.359966,
-0.365844,
-0.369479,
-0.372129,
-0.374362,
-0.376374,
-0.378245,
-0.380015,
-0.381707,
-0.38336,
-0.385916,
-0.412557,
-0.472369,
-0.764535,
-5.44372
],
[
13.0586,
1.76252,
0.442166,
0.217977,
0.000814976,
-0.101082,
-0.193364,
-0.273376,
-0.336044,
-0.377812,
-0.403925,
-0.422553,
-0.437447,
-0.449663,
-0.459033,
-0.465118,
-0.468895,
-0.471672,
-0.474005,
-0.4761,
-0.478048,
-0.479893,
-0.481663,
-0.483375,
-0.485201,
-0.49908,
-0.551521,
-0.850811,
-5.54844
],
[
13.0624,
1.78742,
0.455774,
0.225367,
0.000157644,
-0.10726,
-0.206932,
-0.297312,
-0.375458,
-0.436969,
-0.479953,
-0.50812,
-0.527891,
-0.54267,
-0.553222,
-0.559848,
-0.564096,
-0.567294,
-0.569996,
-0.572421,
-0.574672,
-0.576801,
-0.57884,
-0.580808,
-0.582748,
-0.589363,
-0.628782,
-0.933139,
-5.65146
],
[
13.0665,
1.80858,
0.466851,
0.231466,
3.18589e-05,
-0.111451,
-0.216358,
-0.313654,
-0.401615,
-0.477158,
-0.537072,
-0.580555,
-0.609887,
-0.629536,
-0.642342,
-0.650125,
-0.65522,
-0.659109,
-0.662395,
-0.665331,
-0.668041,
-0.670591,
-0.673021,
-0.675356,
-0.67762,
-0.682501,
-0.706706,
-1.01204,
-5.75241
],
[
13.0703,
1.82613,
0.475787,
0.236359,
6.2736e-06,
-0.114576,
-0.223367,
-0.325588,
-0.420094,
-0.504912,
-0.577139,
-0.634683,
-0.677141,
-0.705603,
-0.72298,
-0.73317,
-0.739864,
-0.744969,
-0.749247,
-0.753032,
-0.756488,
-0.759708,
-0.762749,
-0.765647,
-0.768429,
-0.773814,
-0.78666,
-1.08836,
-5.85094
],
[
13.0738,
1.84053,
0.483057,
0.240307,
1.04892e-06,
-0.11701,
-0.22878,
-0.334673,
-0.433822,
-0.524875,
-0.605628,
-0.67346,
-0.726701,
-0.764842,
-0.788986,
-0.803401,
-0.813013,
-0.820349,
-0.826447,
-0.831778,
-0.836583,
-0.841001,
-0.845119,
-0.848998,
-0.852677,
-0.859578,
-0.867952,
-1.16192,
-5.9464
],
[
13.0769,
1.85228,
0.489033,
0.243529,
1.31742e-07,
-0.118951,
-0.233066,
-0.341788,
-0.444395,
-0.539841,
-0.626446,
-0.701664,
-0.762736,
-0.807967,
-0.837725,
-0.856378,
-0.869381,
-0.879595,
-0.888233,
-0.895858,
-0.902763,
-0.90912,
-0.915036,
-0.920583,
-0.925816,
-0.935493,
-0.944712,
-1.23117,
-6.03758
],
[
13.0797,
1.8611,
0.493989,
0.246182,
3.12246e-08,
-0.120525,
-0.23652,
-0.347477,
-0.45275,
-0.551444,
-0.642159,
-0.722569,
-0.789367,
-0.839737,
-0.87344,
-0.895137,
-0.910704,
-0.92321,
-0.933983,
-0.943647,
-0.952532,
-0.960828,
-0.968652,
-0.976081,
-0.983168,
-0.996454,
-1.00881,
-1.29254,
-6.12226
],
[
13.0821,
1.86901,
0.498129,
0.248387,
2.44108e-08,
-0.121817,
-0.239342,
-0.352097,
-0.459479,
-0.560666,
-0.654387,
-0.738445,
-0.809324,
-0.863486,
-0.900126,
-0.9241,
-0.941585,
-0.955806,
-0.968173,
-0.979358,
-0.989723,
-0.999476,
-1.00875,
-1.01763,
-1.02617,
-1.04242,
-1.05776,
-1.34186,
-6.19651
],
[
13.0842,
1.87557,
0.501604,
0.250229,
2.52563e-08,
-0.122888,
-0.241672,
-0.355894,
-0.464976,
-0.568131,
-0.664138,
-0.750831,
-0.824572,
-0.881447,
-0.920272,
-0.945996,
-0.964984,
-0.980557,
-0.994183,
-1.00657,
-1.0181,
-1.02899,
-1.03938,
-1.04938,
-1.05904,
-1.07753,
-1.09514,
-1.37996,
-6.25643
],
[
13.0874,
1.88565,
0.507007,
0.253077,
2.56242e-08,
-0.124528,
-0.245232,
-0.361672,
-0.473294,
-0.57933,
-0.678567,
-0.768767,
-0.84605,
-0.906162,
-0.947646,
-0.975614,
-0.996606,
-1.01403,
-1.02942,
-1.04352,
-1.05672,
-1.06927,
-1.08131,
-1.09295,
-1.10425,
-1.12606,
-1.14702,
-1.43513,
-6.33987
],
[
13.0896,
1.89354,
0.510864,
0.255098,
2.77336e-08,
-0.125685,
-0.247739,
-0.365734,
-0.479118,
-0.587123,
-0.688503,
-0.780907,
-0.860237,
-0.922067,
-0.964907,
-0.994045,
-1.01611,
-1.03456,
-1.05093,
-1.06599,
-1.08016,
-1.09368,
-1.10671,
-1.11935,
-1.13168,
-1.15559,
-1.17875,
-1.47206,
-6.39346
],
[
13.0927,
1.90428,
0.516765,
0.258171,
4.80274e-08,
-0.127454,
-0.25162,
-0.37207,
-0.488246,
-0.599349,
-0.704045,
-0.799732,
-0.881917,
-0.945953,
-0.990427,
-1.02096,
-1.04431,
-1.06395,
-1.08147,
-1.09765,
-1.11292,
-1.12754,
-1.14168,
-1.15544,
-1.1689,
-1.19516,
-1.22079,
-1.5223,
-6.46384
],
[
13.0926,
1.90477,
0.517376,
0.258495,
1.60002e-07,
-0.12776,
-0.252504,
-0.373797,
-0.491072,
-0.603504,
-0.709699,
-0.806914,
-0.89044,
-0.955506,
-1.00073,
-1.03188,
-1.05578,
-1.07593,
-1.09393,
-1.11057,
-1.12629,
-1.14135,
-1.15592,
-1.17011,
-1.18401,
-1.21115,
-1.23768,
-1.54208,
-6.48989
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.000597076,
"miller_cap_rise": 0.000790797,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.0162929
],
"index_3": [
0.0223302,
0.0350364,
0.047707,
0.0613275,
0.0855642
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0488787
],
"index_3": [
0.0293425,
0.0548209,
0.0808762,
0.1099595,
0.1627608
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0162929
],
"index_3": [
0.0740051,
0.0909894,
0.1043535,
0.1178221,
0.14201
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0488787
],
"index_3": [
0.0838426,
0.1117379,
0.1377339,
0.1667825,
0.21944
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00379489
],
"index_3": [
0.0597689,
0.0888439,
0.1178966,
0.1498609,
0.2141364
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0113847
],
"index_3": [
0.0668735,
0.1082322,
0.1503451,
0.1972773,
0.2859496
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00379489
],
"index_3": [
0.121365,
0.150608,
0.1797004,
0.211587,
0.2757761
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0113847
],
"index_3": [
0.1289981,
0.1704674,
0.2125842,
0.2597465,
0.3479068
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.901613
],
"index_2": [
1.44884
],
"index_3": [
0.0162929
],
"index_4": [
0.7222022,
0.7751811,
0.8643805,
0.9697048,
1.0541356
],
"values": [
1.53617,
1.28787,
1.12234,
1.28787,
1.53617
]
},
{
"index_1": [
1.00601
],
"index_2": [
0.800773
],
"index_3": [
0.0162929
],
"index_4": [
0.4054009,
0.4447235,
0.5284156,
0.603333,
0.6748112
],
"values": [
1.45093,
1.15148,
0.951853,
1.15148,
1.45093
]
},
{
"index_1": [
1.10952
],
"index_2": [
0.520748
],
"index_3": [
0.0162929
],
"index_4": [
0.2679788,
0.3000326,
0.3534017,
0.4316532,
0.4956407
],
"values": [
1.40539,
1.07862,
0.860776,
1.07862,
1.40539
]
},
{
"index_1": [
0.901613
],
"index_2": [
0.724418
],
"index_3": [
0.0162929
],
"index_4": [
0.3670722,
0.3943191,
0.4355113,
0.5087918,
0.5742119
],
"values": [
1.74808,
1.62692,
1.54615,
1.62692,
1.74808
]
},
{
"index_1": [
1.10952
],
"index_2": [
0.260374
],
"index_3": [
0.0162929
],
"index_4": [
0.1393791,
0.1552949,
0.1903879,
0.2334497,
0.2894103
],
"values": [
1.70461,
1.55738,
1.45922,
1.55738,
1.70461
]
},
{
"index_1": [
1.00601
],
"index_2": [
0.800773
],
"index_3": [
0.0488787
],
"index_4": [
0.4067463,
0.4476256,
0.5180917,
0.6248012,
0.739081
],
"values": [
1.69352,
1.53964,
1.43704,
1.53964,
1.69352
]
},
{
"index_1": [
1.10952
],
"index_2": [
0.520748
],
"index_3": [
0.0488787
],
"index_4": [
0.2690529,
0.3020684,
0.3636475,
0.4524708,
0.5572902
],
"values": [
1.67517,
1.51027,
1.40034,
1.51027,
1.67517
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.14142
],
"index_2": [
4.93637
],
"index_3": [
0.00379489
],
"index_4": [
2.3732171,
2.4558606,
2.5058082,
2.5802594,
2.6616479
],
"values": [
0.0479167,
0.0766667,
0.0958334,
0.0766667,
0.0479167
]
},
{
"index_1": [
1.23142
],
"index_2": [
2.83742
],
"index_3": [
0.00379489
],
"index_4": [
1.4025459,
1.444761,
1.4982289,
1.5634444,
1.6033471
],
"values": [
0.183218,
0.293148,
0.366436,
0.293148,
0.183218
]
},
{
"index_1": [
1.31394
],
"index_2": [
1.88977
],
"index_3": [
0.00379489
],
"index_4": [
0.9419543,
0.9842768,
1.0419611,
1.1060966,
1.1434717
],
"values": [
0.334602,
0.535363,
0.669204,
0.535363,
0.334602
]
},
{
"index_1": [
1.14142
],
"index_2": [
2.46819
],
"index_3": [
0.00379489
],
"index_4": [
1.2113281,
1.2417301,
1.2859094,
1.3243768,
1.3760698
],
"values": [
0.0396612,
0.0634579,
0.0793224,
0.0634579,
0.0396612
]
},
{
"index_1": [
1.31394
],
"index_2": [
0.944885
],
"index_3": [
0.00379489
],
"index_4": [
0.4878249,
0.5079235,
0.53882,
0.5676161,
0.588417
],
"values": [
0.14405,
0.23048,
0.2881,
0.23048,
0.14405
]
},
{
"index_1": [
1.23142
],
"index_2": [
2.83742
],
"index_3": [
0.0113847
],
"index_4": [
1.4020375,
1.4486181,
1.5124914,
1.5768112,
1.6198896
],
"values": [
0.14473,
0.231568,
0.28946,
0.231568,
0.14473
]
},
{
"index_1": [
1.31394
],
"index_2": [
1.88977
],
"index_3": [
0.0113847
],
"index_4": [
0.9441611,
0.9889102,
1.0580164,
1.1170958,
1.1584765
],
"values": [
0.24803,
0.396849,
0.496061,
0.396849,
0.24803
]
}
]
},
"stage_type": "both"
},
"cell_fall del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.010612,
0.0293815,
0.0813485,
0.22523
],
"values": [
[
0.0255952,
0.0266811,
0.0295867,
0.037137,
0.0564853,
0.1078064,
0.2475201
],
[
0.0285719,
0.0296629,
0.032532,
0.040085,
0.059511,
0.1108761,
0.2503939
],
[
0.034,
0.0352322,
0.038392,
0.0463733,
0.0658924,
0.1172757,
0.2571343
],
[
0.0379327,
0.0396495,
0.043931,
0.0547257,
0.0784194,
0.1319325,
0.2725103
],
[
0.0315068,
0.0338723,
0.0402137,
0.0556808,
0.089936,
0.1589135,
0.3060283
],
[
-0.00652,
-0.0029706,
0.0063418,
0.0290931,
0.0796052,
0.1800525,
0.3697574
],
[
-0.1263812,
-0.1211063,
-0.1075788,
-0.0738545,
0.0008921,
0.1497784,
0.4256087
]
]
},
"cell_rise del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.010612,
0.0293815,
0.0813485,
0.22523
],
"values": [
[
0.098011,
0.1019143,
0.1125473,
0.1415837,
0.2198688,
0.4343808,
1.0330699
],
[
0.1010676,
0.105011,
0.1159611,
0.1449798,
0.2246708,
0.4393751,
1.0321077
],
[
0.1117566,
0.1156365,
0.1262841,
0.1554609,
0.2345592,
0.4501612,
1.047247
],
[
0.13686,
0.1407191,
0.1512664,
0.1800806,
0.2594085,
0.4748141,
1.0759702
],
[
0.1868937,
0.1914743,
0.2037978,
0.2360634,
0.3156202,
0.5311693,
1.1271415
],
[
0.2754312,
0.2816442,
0.298452,
0.3398864,
0.4382997,
0.6634845,
1.2637601
],
[
0.4671687,
0.4748755,
0.4955093,
0.5485598,
0.6750155,
0.9509005,
1.5680344
]
]
},
"fall_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.010612,
0.0293815,
0.0813485,
0.22523
],
"values": [
[
0.0194171,
0.0206411,
0.0240118,
0.033452,
0.0595994,
0.1325572,
0.3352722
],
[
0.0193228,
0.0205173,
0.0238812,
0.0333171,
0.0594945,
0.1325184,
0.3353002
],
[
0.0229989,
0.0240233,
0.0269546,
0.035207,
0.059983,
0.1324336,
0.3352406
],
[
0.0325011,
0.0337333,
0.0371296,
0.045941,
0.0687889,
0.135038,
0.3352945
],
[
0.0508873,
0.0525197,
0.056611,
0.067885,
0.0941326,
0.1581561,
0.3414468
],
[
0.0835218,
0.0858618,
0.0918823,
0.1074094,
0.1418384,
0.2173158,
0.3934763
],
[
0.1444442,
0.147403,
0.1567113,
0.1785752,
0.2271331,
0.3276522,
0.5356872
]
]
},
"related_pin": "B2",
"rise_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.010612,
0.0293815,
0.0813485,
0.22523
],
"values": [
[
0.0792708,
0.084391,
0.098555,
0.1376523,
0.2460177,
0.543782,
1.3713811
],
[
0.079141,
0.084283,
0.0983967,
0.1374693,
0.2459487,
0.5449777,
1.3711159
],
[
0.0793247,
0.0845841,
0.0983901,
0.1377531,
0.2457984,
0.5438908,
1.3725886
],
[
0.0806884,
0.0854741,
0.0991717,
0.1378739,
0.245872,
0.5445479,
1.3765725
],
[
0.0983034,
0.1026146,
0.1146719,
0.1488212,
0.2499287,
0.5449813,
1.3700865
],
[
0.1369801,
0.142319,
0.156359,
0.193708,
0.2887049,
0.5568877,
1.3747978
],
[
0.2013841,
0.2077375,
0.2246967,
0.269758,
0.3829546,
0.6483996,
1.3931765
]
]
},
"timing_sense": "negative_unate",
"timing_type": "combinational"
},
{
"ccsn_first_stage": {
"dc_current ccsn_dc": {
"index_1": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"index_2": [
-1.95,
-0.975,
-0.39,
-0.195,
0,
0.0975,
0.195,
0.2925,
0.39,
0.4875,
0.585,
0.6825,
0.78,
0.8775,
0.975,
1.0725,
1.17,
1.2675,
1.365,
1.4625,
1.56,
1.6575,
1.755,
1.8525,
1.95,
2.145,
2.34,
2.925,
3.9
],
"values": [
[
13.4231,
1.22339,
0.521875,
0.514294,
0.505131,
0.4999,
0.494161,
0.4878,
0.480612,
0.472205,
0.461764,
0.447914,
0.429675,
0.40714,
0.380851,
0.351367,
0.319167,
0.284654,
0.248158,
0.20995,
0.170259,
0.129272,
0.0871481,
0.0440202,
-4.31644e-08,
-0.0893916,
-0.179676,
-0.477894,
-5.03912
],
[
13.3751,
1.17552,
0.480826,
0.478399,
0.475289,
0.473197,
0.470417,
0.466529,
0.461071,
0.453512,
0.44324,
0.429754,
0.412813,
0.392407,
0.368673,
0.341824,
0.312112,
0.279798,
0.245137,
0.20837,
0.16972,
0.129388,
0.0875533,
0.0443772,
-4.54245e-08,
-0.0908194,
-0.18325,
-0.489016,
-5.04042
],
[
13.3461,
1.14718,
0.404517,
0.399814,
0.394699,
0.391908,
0.38891,
0.385646,
0.382022,
0.377883,
0.372947,
0.366652,
0.357988,
0.345961,
0.330167,
0.310587,
0.287352,
0.260677,
0.230819,
0.198059,
0.162674,
0.124935,
0.0850938,
0.0433799,
-5.00228e-08,
-0.089915,
-0.182531,
-0.491828,
-5.04082
],
[
13.3393,
1.24417,
0.359087,
0.354094,
0.348824,
0.34603,
0.343101,
0.340006,
0.336699,
0.333114,
0.329143,
0.324604,
0.319143,
0.312,
0.301858,
0.287696,
0.269282,
0.246754,
0.220385,
0.190501,
0.15745,
0.121569,
0.0831779,
0.0425679,
-4.44405e-08,
-0.0889608,
-0.181266,
-0.49156,
-5.04084
],
[
13.3229,
1.40587,
0.307385,
0.302297,
0.297119,
0.294424,
0.291641,
0.288753,
0.285737,
0.282561,
0.279178,
0.275516,
0.271458,
0.266792,
0.261074,
0.25325,
0.241656,
0.225363,
0.204346,
0.178904,
0.149449,
0.11642,
0.0802449,
0.0413204,
-5.12711e-08,
-0.0874511,
-0.179161,
-0.490371,
-5.04078
],
[
13.312,
1.49308,
0.281023,
0.274844,
0.26977,
0.267146,
0.264453,
0.261678,
0.258802,
0.255804,
0.252652,
0.249297,
0.245669,
0.241645,
0.237,
0.231227,
0.223069,
0.21069,
0.193263,
0.170876,
0.143917,
0.112868,
0.0782271,
0.0404637,
-4.92752e-08,
-0.0864125,
-0.177689,
-0.489342,
-5.04071
],
[
13.2998,
1.58153,
0.262087,
0.246705,
0.241754,
0.239215,
0.23662,
0.233961,
0.231224,
0.228394,
0.225449,
0.222356,
0.21907,
0.215517,
0.211574,
0.207,
0.201213,
0.192692,
0.179453,
0.160809,
0.136968,
0.108412,
0.0757021,
0.0393947,
-8.06921e-08,
-0.0851267,
-0.175863,
-0.487961,
-5.04061
],
[
13.2867,
1.67068,
0.269245,
0.218291,
0.213316,
0.21087,
0.208382,
0.205843,
0.203245,
0.200578,
0.197824,
0.194963,
0.191964,
0.188783,
0.185347,
0.181531,
0.177079,
0.171307,
0.162366,
0.148164,
0.128188,
0.102777,
0.0725151,
0.0380497,
-9.98862e-07,
-0.0835337,
-0.173606,
-0.486174,
-5.04047
],
[
13.273,
1.76029,
0.312425,
0.191805,
0.184705,
0.182361,
0.179985,
0.177572,
0.175115,
0.172606,
0.170034,
0.167385,
0.164639,
0.161767,
0.158727,
0.155449,
0.151807,
0.147528,
0.14179,
0.132337,
0.117033,
0.0955722,
0.0684336,
0.0363223,
-2.18632e-05,
-0.0815729,
-0.170841,
-0.483946,
-5.04036
],
[
13.2591,
1.85015,
0.378475,
0.177653,
0.156188,
0.153923,
0.151653,
0.149357,
0.147028,
0.144662,
0.142251,
0.139786,
0.137254,
0.134637,
0.131908,
0.129028,
0.125931,
0.122493,
0.118424,
0.112703,
0.102584,
0.0859782,
0.062808,
0.0337164,
-0.000452641,
-0.0795675,
-0.167923,
-0.481848,
-5.0413
],
[
13.2453,
1.94011,
0.45385,
0.194727,
0.128071,
0.125317,
0.122904,
0.120476,
0.118025,
0.115546,
0.113034,
0.110482,
0.107884,
0.105229,
0.102499,
0.099674,
0.0967171,
0.0935685,
0.0901109,
0.0860309,
0.0800207,
0.0687674,
0.0503338,
0.0248957,
-0.006709,
-0.0833168,
-0.171187,
-0.488079,
-5.05536
],
[
13.2317,
2.03007,
0.53222,
0.240787,
0.100719,
0.0925691,
0.0882763,
0.0841176,
0.0799724,
0.0758204,
0.0716542,
0.0674692,
0.0632608,
0.0590227,
0.054747,
0.0504214,
0.0460277,
0.0415366,
0.0368964,
0.0319994,
0.0265049,
0.0186778,
0.00457961,
-0.017456,
-0.0469782,
-0.123062,
-0.213148,
-0.542334,
-5.13636
],
[
13.2189,
2.12005,
0.610703,
0.295997,
0.0746109,
0.0419312,
0.0310185,
0.0222328,
0.0138828,
0.00571397,
-0.00235149,
-0.0103466,
-0.0182889,
-0.0261895,
-0.0340579,
-0.0419029,
-0.0497358,
-0.0575719,
-0.0654354,
-0.0733689,
-0.0814679,
-0.0901128,
-0.101688,
-0.120763,
-0.148628,
-0.226453,
-0.322086,
-0.675003,
-5.31907
],
[
13.2072,
2.21023,
0.687528,
0.346929,
0.0504274,
-0.0286029,
-0.0572773,
-0.0729087,
-0.0861608,
-0.0985796,
-0.11058,
-0.122325,
-0.133893,
-0.145325,
-0.156649,
-0.167882,
-0.179039,
-0.190131,
-0.201173,
-0.212185,
-0.2232,
-0.234296,
-0.245921,
-0.261468,
-0.285984,
-0.363783,
-0.464977,
-0.843452,
-5.54253
],
[
13.1973,
2.30101,
0.761326,
0.389263,
0.0292476,
-0.0965944,
-0.158173,
-0.184543,
-0.203064,
-0.219238,
-0.234359,
-0.24889,
-0.26304,
-0.276921,
-0.290597,
-0.304108,
-0.317482,
-0.330739,
-0.343893,
-0.35696,
-0.369956,
-0.382906,
-0.395872,
-0.409486,
-0.428668,
-0.501765,
-0.606675,
-1.00691,
-5.75341
],
[
13.1902,
2.39331,
0.831358,
0.424897,
0.0128824,
-0.151359,
-0.252448,
-0.296697,
-0.321855,
-0.341775,
-0.359568,
-0.376246,
-0.392244,
-0.407782,
-0.422986,
-0.437933,
-0.452676,
-0.467249,
-0.481681,
-0.495992,
-0.510201,
-0.52433,
-0.538402,
-0.552482,
-0.568051,
-0.630485,
-0.737349,
-1.15644,
-5.94169
],
[
13.1874,
2.48883,
0.898203,
0.458056,
0.00360748,
-0.191144,
-0.329462,
-0.400105,
-0.434796,
-0.459167,
-0.479734,
-0.498446,
-0.516086,
-0.533036,
-0.549508,
-0.565631,
-0.581489,
-0.597139,
-0.612623,
-0.627972,
-0.643215,
-0.658375,
-0.673477,
-0.688551,
-0.703884,
-0.75105,
-0.855549,
-1.29389,
-6.11244
],
[
13.1911,
2.58882,
0.961869,
0.490512,
0.000690609,
-0.218719,
-0.388896,
-0.491782,
-0.540943,
-0.571406,
-0.595456,
-0.616587,
-0.636111,
-0.654639,
-0.672497,
-0.689877,
-0.706903,
-0.723658,
-0.7402,
-0.756572,
-0.77281,
-0.788945,
-0.805006,
-0.821024,
-0.837077,
-0.874021,
-0.962785,
-1.42137,
-6.27132
],
[
13.2018,
2.69032,
1.01929,
0.519841,
0.000126492,
-0.239504,
-0.436154,
-0.572076,
-0.641853,
-0.68075,
-0.709168,
-0.733128,
-0.754747,
-0.774957,
-0.794242,
-0.812879,
-0.831042,
-0.848845,
-0.866368,
-0.883671,
-0.900798,
-0.917789,
-0.934678,
-0.951502,
-0.968306,
-1.00287,
-1.06874,
-1.53923,
-6.42201
],
[
13.2158,
2.78922,
1.06902,
0.544973,
2.3519e-05,
-0.256282,
-0.474797,
-0.640749,
-0.736602,
-0.787215,
-0.821165,
-0.848433,
-0.872363,
-0.894353,
-0.915098,
-0.934985,
-0.954251,
-0.973052,
-0.991493,
-1.00965,
-1.02758,
-1.04534,
-1.06296,
-1.08049,
-1.09796,
-1.13311,
-1.18103,
-1.64656,
-6.56657
],
[
13.2305,
2.88377,
1.11163,
0.566324,
4.06994e-06,
-0.270164,
-0.506822,
-0.698447,
-0.823153,
-0.889817,
-0.930976,
-0.962222,
-0.988773,
-1.01269,
-1.03497,
-1.05613,
-1.0765,
-1.09628,
-1.11561,
-1.13458,
-1.15327,
-1.17173,
-1.19002,
-1.20819,
-1.22628,
-1.26244,
-1.30212,
-1.74563,
-6.70616
],
[
13.2451,
2.97306,
1.14805,
0.5845,
5.27574e-07,
-0.281778,
-0.533604,
-0.746663,
-0.899802,
-0.987199,
-1.03796,
-1.07412,
-1.10372,
-1.1298,
-1.15372,
-1.17623,
-1.19773,
-1.21849,
-1.2387,
-1.25846,
-1.27788,
-1.29703,
-1.31595,
-1.33472,
-1.35337,
-1.39057,
-1.42865,
-1.84244,
-6.84182
],
[
13.2596,
3.0563,
1.17917,
0.600022,
4.89263e-08,
-0.291568,
-0.556162,
-0.787031,
-0.966017,
-1.07774,
-1.14132,
-1.18373,
-1.21697,
-1.24549,
-1.27123,
-1.29516,
-1.31785,
-1.33963,
-1.36073,
-1.38129,
-1.40144,
-1.42125,
-1.44079,
-1.46013,
-1.47933,
-1.51751,
-1.55599,
-1.94074,
-6.97463
],
[
13.2738,
3.13282,
1.20578,
0.613315,
1.6686e-08,
-0.299864,
-0.575274,
-0.820995,
-1.0224,
-1.15987,
-1.24008,
-1.29056,
-1.32823,
-1.35958,
-1.38736,
-1.41286,
-1.43681,
-1.45966,
-1.48168,
-1.50306,
-1.52393,
-1.54441,
-1.56457,
-1.58447,
-1.60419,
-1.64333,
-1.68257,
-2.04217,
-7.10527
],
[
13.2877,
3.20223,
1.22855,
0.624721,
1.88947e-08,
-0.306921,
-0.591539,
-0.84973,
-1.07012,
-1.23257,
-1.33301,
-1.39403,
-1.4372,
-1.47191,
-1.50199,
-1.52922,
-1.55455,
-1.57853,
-1.60151,
-1.62374,
-1.64537,
-1.66652,
-1.68729,
-1.70777,
-1.72801,
-1.76809,
-1.80812,
-2.14734,
-7.2342
],
[
13.3143,
3.32021,
1.26482,
0.642932,
3.06994e-08,
-0.318085,
-0.617326,
-0.895028,
-1.14458,
-1.35008,
-1.49622,
-1.58767,
-1.64669,
-1.69036,
-1.72624,
-1.7576,
-1.78609,
-1.81262,
-1.83773,
-1.86177,
-1.88499,
-1.90757,
-1.92962,
-1.95126,
-1.97257,
-2.01452,
-2.05614,
-2.36919,
-7.48816
],
[
13.3387,
3.41363,
1.2915,
0.656338,
5.00104e-08,
-0.326241,
-0.636278,
-0.928239,
-1.19821,
-1.43592,
-1.62473,
-1.75661,
-1.84112,
-1.89859,
-1.94265,
-1.97947,
-2.01193,
-2.04152,
-2.06911,
-2.09522,
-2.12023,
-2.14435,
-2.16779,
-2.19067,
-2.2131,
-2.257,
-2.30024,
-2.60422,
-7.73818
],
[
13.3931,
3.5885,
1.33481,
0.677835,
1.72648e-07,
-0.339388,
-0.667634,
-0.983932,
-1.28712,
-1.57501,
-1.84232,
-2.07816,
-2.2713,
-2.41724,
-2.5196,
-2.59153,
-2.64626,
-2.69125,
-2.73026,
-2.76533,
-2.79762,
-2.82786,
-2.85655,
-2.88401,
-2.91051,
-2.96129,
-3.01007,
-3.32153,
-8.46573
],
[
13.4341,
3.66193,
1.34414,
0.681515,
6.80977e-07,
-0.342577,
-0.678119,
-1.00631,
-1.32679,
-1.63913,
-1.94272,
-2.23655,
-2.51856,
-2.78418,
-3.02578,
-3.23635,
-3.41224,
-3.55241,
-3.65908,
-3.73991,
-3.80397,
-3.85752,
-3.90418,
-3.94607,
-3.9845,
-4.05413,
-4.11723,
-4.45415,
-9.59992
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.00313762,
"miller_cap_rise": 0.00218338,
"output_voltage_fall": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.0265848
],
"index_3": [
0.0149464,
0.0228264,
0.0312012,
0.0404578,
0.0542927
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0797543
],
"index_3": [
0.0211689,
0.0416993,
0.0634845,
0.0875741,
0.1223936
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0265848
],
"index_3": [
0.0638729,
0.0787536,
0.0902444,
0.1006402,
0.1144261
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0797543
],
"index_3": [
0.0760755,
0.1017023,
0.1234661,
0.1475137,
0.1826401
],
"values": [
1.755,
1.365,
0.975,
0.585,
0.195
]
}
]
},
"output_voltage_rise": {
"vector ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00457107
],
"index_3": [
0.0226912,
0.035863,
0.0515793,
0.0735882,
0.1298049
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0137132
],
"index_3": [
0.0291475,
0.0551002,
0.0849507,
0.1231602,
0.2040579
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00457107
],
"index_3": [
0.0820122,
0.0998884,
0.1142355,
0.135241,
0.1906316
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0137132
],
"index_3": [
0.0903267,
0.1162589,
0.1453086,
0.1829607,
0.263782
],
"values": [
0.195,
0.585,
0.975,
1.365,
1.755
]
}
]
},
"propagated_noise_high": {
"vector ccsn_pnlh": [
{
"index_1": [
0.918239
],
"index_2": [
0.833654
],
"index_3": [
0.0265848
],
"index_4": [
0.4107928,
0.4431284,
0.4980306,
0.5846667,
0.6568218
],
"values": [
1.56867,
1.33988,
1.18735,
1.33988,
1.56867
]
},
{
"index_1": [
1.03777
],
"index_2": [
0.44713
],
"index_3": [
0.0265848
],
"index_4": [
0.2225823,
0.2460819,
0.2896874,
0.3557218,
0.4192822
],
"values": [
1.5065,
1.2404,
1.063,
1.2404,
1.5065
]
},
{
"index_1": [
1.1609
],
"index_2": [
0.284498
],
"index_3": [
0.0265848
],
"index_4": [
0.1431036,
0.1615367,
0.1932425,
0.2512066,
0.3059495
],
"values": [
1.47779,
1.19447,
1.00559,
1.19447,
1.47779
]
},
{
"index_1": [
0.918239
],
"index_2": [
0.416827
],
"index_3": [
0.0265848
],
"index_4": [
0.2099983,
0.226509,
0.2573684,
0.3072941,
0.3741942
],
"values": [
1.74795,
1.62672,
1.54591,
1.62672,
1.74795
]
},
{
"index_1": [
1.1609
],
"index_2": [
0.142249
],
"index_3": [
0.0265848
],
"index_4": [
0.0750447,
0.084392,
0.1010111,
0.1421148,
0.1904811
],
"values": [
1.71293,
1.57069,
1.47587,
1.57069,
1.71293
]
},
{
"index_1": [
1.03777
],
"index_2": [
0.44713
],
"index_3": [
0.0797543
],
"index_4": [
0.225861,
0.2516392,
0.3095729,
0.3921384,
0.5156576
],
"values": [
1.75777,
1.64243,
1.56554,
1.64243,
1.75777
]
},
{
"index_1": [
1.1609
],
"index_2": [
0.284498
],
"index_3": [
0.0797543
],
"index_4": [
0.145524,
0.1654619,
0.1964882,
0.2890042,
0.4010671
],
"values": [
1.74895,
1.62832,
1.5479,
1.62832,
1.74895
]
}
]
},
"propagated_noise_low": {
"vector ccsn_pnlh": [
{
"index_1": [
1.10877
],
"index_2": [
1.6118
],
"index_3": [
0.00457107
],
"index_4": [
0.7703309,
0.802394,
0.8220262,
0.8472929,
0.8776548
],
"values": [
0.0358869,
0.057419,
0.0717737,
0.057419,
0.0358869
]
},
{
"index_1": [
1.22424
],
"index_2": [
0.914305
],
"index_3": [
0.00457107
],
"index_4": [
0.4490115,
0.4657536,
0.4887389,
0.512586,
0.528711
],
"values": [
0.14853,
0.237647,
0.297059,
0.237647,
0.14853
]
},
{
"index_1": [
1.33212
],
"index_2": [
0.6078
],
"index_3": [
0.00457107
],
"index_4": [
0.2999027,
0.3171347,
0.3412228,
0.3667541,
0.3819035
],
"values": [
0.273109,
0.436975,
0.546219,
0.436975,
0.273109
]
},
{
"index_1": [
1.10877
],
"index_2": [
0.8059
],
"index_3": [
0.00457107
],
"index_4": [
0.3740065,
0.4038774,
0.4172797,
0.433296,
0.4515543
],
"values": [
0.033314,
0.0533023,
0.0666279,
0.0533023,
0.033314
]
},
{
"index_1": [
1.33212
],
"index_2": [
0.3039
],
"index_3": [
0.00457107
],
"index_4": [
0.1530191,
0.1616672,
0.1776353,
0.1886462,
0.1973241
],
"values": [
0.159207,
0.254732,
0.318415,
0.254732,
0.159207
]
},
{
"index_1": [
1.22424
],
"index_2": [
0.914305
],
"index_3": [
0.0137132
],
"index_4": [
0.446552,
0.4665282,
0.4973431,
0.5226003,
0.541844
],
"values": [
0.101536,
0.162458,
0.203073,
0.162458,
0.101536
]
},
{
"index_1": [
1.33212
],
"index_2": [
0.6078
],
"index_3": [
0.0137132
],
"index_4": [
0.2987602,
0.318573,
0.346614,
0.3729528,
0.3897022
],
"values": [
0.175258,
0.280413,
0.350516,
0.280413,
0.175258
]
}
]
},
"stage_type": "both"
},
"cell_fall del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.010612,
0.0293815,
0.0813485,
0.22523
],
"values": [
[
0.0106018,
0.0112308,
0.0128986,
0.0172564,
0.0287866,
0.0601972,
0.146614
],
[
0.0130267,
0.0138922,
0.0160579,
0.0208437,
0.0323474,
0.0636642,
0.150106
],
[
0.0139141,
0.0152395,
0.0184454,
0.0257751,
0.0405618,
0.0721006,
0.1586998
],
[
0.0098303,
0.0117465,
0.0164597,
0.0271597,
0.0495368,
0.0910041,
0.1775435
],
[
-0.0084983,
-0.0057067,
0.001297,
0.0174971,
0.0508417,
0.1130893,
0.2218148
],
[
-0.0639397,
-0.0597323,
-0.0491277,
-0.0252683,
0.0248956,
0.117185,
0.2815188
],
[
-0.210292,
-0.2039938,
-0.1880388,
-0.1516358,
-0.0784746,
0.0609172,
0.3039825
]
]
},
"cell_rise del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.010612,
0.0293815,
0.0813485,
0.22523
],
"values": [
[
0.0318152,
0.0349147,
0.0437051,
0.0683262,
0.1353394,
0.3153511,
0.8164844
],
[
0.0358727,
0.0387654,
0.0469825,
0.0707261,
0.137723,
0.3193051,
0.8212482
],
[
0.0483586,
0.0509989,
0.0585534,
0.0811141,
0.1474667,
0.3304803,
0.8266207
],
[
0.0738018,
0.07761,
0.0871803,
0.1100775,
0.1736811,
0.3549883,
0.8505944
],
[
0.1171069,
0.1225373,
0.1363582,
0.1698141,
0.2397332,
0.4154494,
0.9132725
],
[
0.2013573,
0.2080189,
0.2259914,
0.2705244,
0.3708393,
0.5676006,
1.0584434
],
[
0.378144,
0.3867279,
0.4090424,
0.4644388,
0.5953731,
0.8743123,
1.4019442
]
]
},
"fall_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.010612,
0.0293815,
0.0813485,
0.22523
],
"values": [
[
0.0062933,
0.0069398,
0.0088378,
0.0142686,
0.0295709,
0.0716952,
0.1879528
],
[
0.0091243,
0.0097429,
0.0111302,
0.0154887,
0.0295039,
0.0720596,
0.1886674
],
[
0.0141666,
0.0149828,
0.0172921,
0.0226384,
0.0342458,
0.072196,
0.1884825
],
[
0.0228039,
0.0242924,
0.0276063,
0.0354012,
0.0513728,
0.0834888,
0.1897032
],
[
0.0391415,
0.0407493,
0.0456666,
0.0566385,
0.0796136,
0.1235638,
0.2125179
],
[
0.0699891,
0.073024,
0.0802089,
0.0963406,
0.1292826,
0.1925628,
0.3080274
],
[
0.1326715,
0.1374302,
0.1487784,
0.1727301,
0.2207378,
0.3113789,
0.4770558
]
]
},
"related_pin": "C1",
"rise_transition del_1_7_7": {
"index_1": [
0.01,
0.0230506,
0.0531329,
0.122474,
0.282311,
0.650743,
1.5
],
"index_2": [
0.0005,
0.00138435,
0.00383285,
0.010612,
0.0293815,
0.0813485,
0.22523
],
"values": [
[
0.0412228,
0.0461872,
0.0591294,
0.0945791,
0.1881528,
0.4416557,
1.1414352
],
[
0.0404436,
0.0450638,
0.0581796,
0.0939235,
0.1881195,
0.4406233,
1.1482491
],
[
0.0420235,
0.0461974,
0.0581821,
0.092406,
0.1874279,
0.4426125,
1.1432018
],
[
0.0554242,
0.05874,
0.0681761,
0.0974588,
0.1859631,
0.4420173,
1.1392223
],
[
0.0709354,
0.076263,
0.0905092,
0.1249476,
0.2007923,
0.4404989,
1.1432731
],
[
0.1007873,
0.1073533,
0.124279,
0.1670029,
0.2622882,
0.4736838,
1.1417547
],
[
0.1610894,
0.167755,
0.1885172,
0.2376262,
0.3612919,
0.6266033,
1.2002004
]
]
},
"timing_sense": "negative_unate",
"timing_type": "combinational"
}
]
}
}