Significant improvements to library sky130_fd_sc_hd version 0.0.1.

This commit contains major improvements to all files by regenerating
from original data, improving consistency and automated cross checking
of data.

These improvements should drastically reduce customer confusion when
using the library and further reduce future possibility for human errors to
creep into designs.

Notable improvements include;

 * A large number of files have been regenerated from original source
   data including most liberty timing files and spice simulation models
   (compared to previous hand created versions).

 * Catalog and other library aggregations are now automatically
   generated from library contents (compared to previous hand created
   versions).

 * Significant improvements to documentation for all cells, including
   producing graphical representations, verified metadata and
   descriptions.

 * Names have been cross referenced between file types (such as
   simulation, layout, schematic and timing) and now verified to match.

 * Names have been improved to fix a standard format across all supported
   libraries and PDK contents.

 * Significant improvements to the contents of text files (like the
   verilog files) through improving consistent style that has been
   automatically checked.

 * Simplified verilog files for usage with open tools, including new
   black box stubs have been created.

 * Too many numerous other changes to list here.

Signed-off-by: Kevin Kelley <kevin.kelley@skywatertechnology.com>
diff --git a/README.rst b/README.rst
index 479c4c2..8d0e1b9 100644
--- a/README.rst
+++ b/README.rst
@@ -1,5 +1,5 @@
 :lib:`sky130_fd_sc_hd` - SKY130 High Density Digital Standard Cells (SkyWater Provided)
 =======================================================================================
 
-Initial empty repository creation.
+Initial release of version (0, 0, 1).
 
diff --git a/cells/a2111o/definition.json b/cells/a2111o/definition.json
new file mode 100644
index 0000000..da45633
--- /dev/null
+++ b/cells/a2111o/definition.json
@@ -0,0 +1,72 @@
+{
+ "description": "2-input AND into first input of 4-input OR.",
+ "equation": "X = ((A1 & A2) | B1 | C1 | D1)",
+ "file_prefix": "sky130_fd_sc_hd__a2111o",
+ "library": "sky130_fd_sc_hd",
+ "name": "a2111o",
+ "parameters": [],
+ "ports": [
+  [
+   "signal",
+   "X",
+   "output",
+   ""
+  ],
+  [
+   "signal",
+   "A1",
+   "input",
+   ""
+  ],
+  [
+   "signal",
+   "A2",
+   "input",
+   ""
+  ],
+  [
+   "signal",
+   "B1",
+   "input",
+   ""
+  ],
+  [
+   "signal",
+   "C1",
+   "input",
+   ""
+  ],
+  [
+   "signal",
+   "D1",
+   "input",
+   ""
+  ],
+  [
+   "power",
+   "VPWR",
+   "input",
+   "supply1"
+  ],
+  [
+   "power",
+   "VGND",
+   "input",
+   "supply0"
+  ],
+  [
+   "power",
+   "VPB",
+   "input",
+   "supply1"
+  ],
+  [
+   "power",
+   "VNB",
+   "input",
+   "supply0"
+  ]
+ ],
+ "type": "cell",
+ "verilog_name": "sky130_fd_sc_hd__a2111o"
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.behavioral.pp.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.behavioral.pp.v
new file mode 100644
index 0000000..95c207b
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.behavioral.pp.v
@@ -0,0 +1,78 @@
+/*
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+*/
+
+
+`ifndef SKY130_FD_SC_HD__A2111O_BEHAVIORAL_PP_V
+`define SKY130_FD_SC_HD__A2111O_BEHAVIORAL_PP_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog simulation functional model.
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+// Import user defined primitives.
+`include "../../models/udp_pwrgood_pp_pg/sky130_fd_sc_hd__udp_pwrgood_pp_pg.v"
+
+`celldefine
+module sky130_fd_sc_hd__a2111o (
+    X   ,
+    A1  ,
+    A2  ,
+    B1  ,
+    C1  ,
+    D1  ,
+    VPWR,
+    VGND,
+    VPB ,
+    VNB
+);
+
+    // Module ports
+    output X   ;
+    input  A1  ;
+    input  A2  ;
+    input  B1  ;
+    input  C1  ;
+    input  D1  ;
+    input  VPWR;
+    input  VGND;
+    input  VPB ;
+    input  VNB ;
+
+    // Local signals
+    wire and0_out         ;
+    wire or0_out_X        ;
+    wire pwrgood_pp0_out_X;
+
+    //                                 Name         Output             Other arguments
+    and                                and0        (and0_out         , A1, A2               );
+    or                                 or0         (or0_out_X        , C1, B1, and0_out, D1 );
+    sky130_fd_sc_hd__udp_pwrgood_pp$PG pwrgood_pp0 (pwrgood_pp0_out_X, or0_out_X, VPWR, VGND);
+    buf                                buf0        (X                , pwrgood_pp0_out_X    );
+
+endmodule
+`endcelldefine
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_BEHAVIORAL_PP_V
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.behavioral.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.behavioral.v
new file mode 100644
index 0000000..e083cb1
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.behavioral.v
@@ -0,0 +1,71 @@
+/*
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+*/
+
+
+`ifndef SKY130_FD_SC_HD__A2111O_BEHAVIORAL_V
+`define SKY130_FD_SC_HD__A2111O_BEHAVIORAL_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog simulation functional model.
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+`celldefine
+module sky130_fd_sc_hd__a2111o (
+    X ,
+    A1,
+    A2,
+    B1,
+    C1,
+    D1
+);
+
+    // Module ports
+    output X ;
+    input  A1;
+    input  A2;
+    input  B1;
+    input  C1;
+    input  D1;
+
+    // Module supplies
+    supply1 VPWR;
+    supply0 VGND;
+    supply1 VPB ;
+    supply0 VNB ;
+
+    // Local signals
+    wire and0_out ;
+    wire or0_out_X;
+
+    //  Name  Output     Other arguments
+    and and0 (and0_out , A1, A2              );
+    or  or0  (or0_out_X, C1, B1, and0_out, D1);
+    buf buf0 (X        , or0_out_X           );
+
+endmodule
+`endcelldefine
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_BEHAVIORAL_V
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.blackbox.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.blackbox.v
new file mode 100644
index 0000000..2c7b0c2
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.blackbox.v
@@ -0,0 +1,61 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HD__A2111O_BLACKBOX_V
+`define SKY130_FD_SC_HD__A2111O_BLACKBOX_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog stub definition (black box without power pins).
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+(* blackbox *)
+module sky130_fd_sc_hd__a2111o (
+    X ,
+    A1,
+    A2,
+    B1,
+    C1,
+    D1
+);
+
+    output X ;
+    input  A1;
+    input  A2;
+    input  B1;
+    input  C1;
+    input  D1;
+
+    // Voltage supply signals
+    supply1 VPWR;
+    supply0 VGND;
+    supply1 VPB ;
+    supply0 VNB ;
+
+endmodule
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_BLACKBOX_V
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.functional.pp.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.functional.pp.v
new file mode 100644
index 0000000..7856e6b
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.functional.pp.v
@@ -0,0 +1,78 @@
+/*
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+*/
+
+
+`ifndef SKY130_FD_SC_HD__A2111O_FUNCTIONAL_PP_V
+`define SKY130_FD_SC_HD__A2111O_FUNCTIONAL_PP_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog simulation functional model.
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+// Import user defined primitives.
+`include "../../models/udp_pwrgood_pp_pg/sky130_fd_sc_hd__udp_pwrgood_pp_pg.v"
+
+`celldefine
+module sky130_fd_sc_hd__a2111o (
+    X   ,
+    A1  ,
+    A2  ,
+    B1  ,
+    C1  ,
+    D1  ,
+    VPWR,
+    VGND,
+    VPB ,
+    VNB
+);
+
+    // Module ports
+    output X   ;
+    input  A1  ;
+    input  A2  ;
+    input  B1  ;
+    input  C1  ;
+    input  D1  ;
+    input  VPWR;
+    input  VGND;
+    input  VPB ;
+    input  VNB ;
+
+    // Local signals
+    wire and0_out         ;
+    wire or0_out_X        ;
+    wire pwrgood_pp0_out_X;
+
+    //                                 Name         Output             Other arguments
+    and                                and0        (and0_out         , A1, A2               );
+    or                                 or0         (or0_out_X        , C1, B1, and0_out, D1 );
+    sky130_fd_sc_hd__udp_pwrgood_pp$PG pwrgood_pp0 (pwrgood_pp0_out_X, or0_out_X, VPWR, VGND);
+    buf                                buf0        (X                , pwrgood_pp0_out_X    );
+
+endmodule
+`endcelldefine
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_FUNCTIONAL_PP_V
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v
new file mode 100644
index 0000000..b42a523
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v
@@ -0,0 +1,65 @@
+/*
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+*/
+
+
+`ifndef SKY130_FD_SC_HD__A2111O_FUNCTIONAL_V
+`define SKY130_FD_SC_HD__A2111O_FUNCTIONAL_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog simulation functional model.
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+`celldefine
+module sky130_fd_sc_hd__a2111o (
+    X ,
+    A1,
+    A2,
+    B1,
+    C1,
+    D1
+);
+
+    // Module ports
+    output X ;
+    input  A1;
+    input  A2;
+    input  B1;
+    input  C1;
+    input  D1;
+
+    // Local signals
+    wire and0_out ;
+    wire or0_out_X;
+
+    //  Name  Output     Other arguments
+    and and0 (and0_out , A1, A2              );
+    or  or0  (or0_out_X, C1, B1, and0_out, D1);
+    buf buf0 (X        , or0_out_X           );
+
+endmodule
+`endcelldefine
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_FUNCTIONAL_V
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.json b/cells/a2111o/sky130_fd_sc_hd__a2111o.json
new file mode 100644
index 0000000..8a5abe7
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.json
@@ -0,0 +1,207 @@
+{
+  "creator": "Yosys 0.9+2406 (git sha1 46ed0db2, clang 7.0.1-8 -fPIC -Os)",
+  "modules": {
+    "sky130_fd_sc_hd__a2111o": {
+      "attributes": {
+        "top": 1,
+        "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:35.1-61.10"
+      },
+      "ports": {
+        "X": {
+          "direction": "output",
+          "bits": [ 2 ]
+        },
+        "A1": {
+          "direction": "input",
+          "bits": [ 3 ]
+        },
+        "A2": {
+          "direction": "input",
+          "bits": [ 4 ]
+        },
+        "B1": {
+          "direction": "input",
+          "bits": [ 5 ]
+        },
+        "C1": {
+          "direction": "input",
+          "bits": [ 6 ]
+        },
+        "D1": {
+          "direction": "input",
+          "bits": [ 7 ]
+        }
+      },
+      "cells": {
+        "$and$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:57$1": {
+          "hide_name": 1,
+          "type": "$and",
+          "parameters": {
+            "A_SIGNED": 0,
+            "A_WIDTH": 1,
+            "B_SIGNED": 0,
+            "B_WIDTH": 1,
+            "Y_WIDTH": 1
+          },
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:57.9-57.47"
+          },
+          "port_directions": {
+            "A": "input",
+            "B": "input",
+            "Y": "output"
+          },
+          "connections": {
+            "A": [ 3 ],
+            "B": [ 4 ],
+            "Y": [ 8 ]
+          }
+        },
+        "$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$2": {
+          "hide_name": 1,
+          "type": "$or",
+          "parameters": {
+            "A_SIGNED": 0,
+            "A_WIDTH": 1,
+            "B_SIGNED": 0,
+            "B_WIDTH": 1,
+            "Y_WIDTH": 1
+          },
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58.9-58.47"
+          },
+          "port_directions": {
+            "A": "input",
+            "B": "input",
+            "Y": "output"
+          },
+          "connections": {
+            "A": [ 6 ],
+            "B": [ 5 ],
+            "Y": [ 9 ]
+          }
+        },
+        "$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$3": {
+          "hide_name": 1,
+          "type": "$or",
+          "parameters": {
+            "A_SIGNED": 0,
+            "A_WIDTH": 1,
+            "B_SIGNED": 0,
+            "B_WIDTH": 1,
+            "Y_WIDTH": 1
+          },
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58.9-58.47"
+          },
+          "port_directions": {
+            "A": "input",
+            "B": "input",
+            "Y": "output"
+          },
+          "connections": {
+            "A": [ 9 ],
+            "B": [ 8 ],
+            "Y": [ 10 ]
+          }
+        },
+        "$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$4": {
+          "hide_name": 1,
+          "type": "$or",
+          "parameters": {
+            "A_SIGNED": 0,
+            "A_WIDTH": 1,
+            "B_SIGNED": 0,
+            "B_WIDTH": 1,
+            "Y_WIDTH": 1
+          },
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58.9-58.47"
+          },
+          "port_directions": {
+            "A": "input",
+            "B": "input",
+            "Y": "output"
+          },
+          "connections": {
+            "A": [ 10 ],
+            "B": [ 7 ],
+            "Y": [ 2 ]
+          }
+        }
+      },
+      "netnames": {
+        "$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$2_Y": {
+          "hide_name": 1,
+          "bits": [ 9 ],
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58.9-58.47"
+          }
+        },
+        "$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$3_Y": {
+          "hide_name": 1,
+          "bits": [ 10 ],
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58.9-58.47"
+          }
+        },
+        "A1": {
+          "hide_name": 0,
+          "bits": [ 3 ],
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:46.12-46.14"
+          }
+        },
+        "A2": {
+          "hide_name": 0,
+          "bits": [ 4 ],
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:47.12-47.14"
+          }
+        },
+        "B1": {
+          "hide_name": 0,
+          "bits": [ 5 ],
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:48.12-48.14"
+          }
+        },
+        "C1": {
+          "hide_name": 0,
+          "bits": [ 6 ],
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:49.12-49.14"
+          }
+        },
+        "D1": {
+          "hide_name": 0,
+          "bits": [ 7 ],
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:50.12-50.14"
+          }
+        },
+        "X": {
+          "hide_name": 0,
+          "bits": [ 2 ],
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:45.12-45.13"
+          }
+        },
+        "and0_out": {
+          "hide_name": 0,
+          "bits": [ 8 ],
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:53.10-53.18"
+          }
+        },
+        "or0_out_X": {
+          "hide_name": 0,
+          "bits": [ 2 ],
+          "attributes": {
+            "src": "./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:54.10-54.19"
+          }
+        }
+      }
+    }
+  }
+}
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.pp.blackbox.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.pp.blackbox.v
new file mode 100644
index 0000000..89c1ba5
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.pp.blackbox.v
@@ -0,0 +1,62 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HD__A2111O_PP_BLACKBOX_V
+`define SKY130_FD_SC_HD__A2111O_PP_BLACKBOX_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog stub definition (black box with power pins).
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+(* blackbox *)
+module sky130_fd_sc_hd__a2111o (
+    X   ,
+    A1  ,
+    A2  ,
+    B1  ,
+    C1  ,
+    D1  ,
+    VPWR,
+    VGND,
+    VPB ,
+    VNB
+);
+
+    output X   ;
+    input  A1  ;
+    input  A2  ;
+    input  B1  ;
+    input  C1  ;
+    input  D1  ;
+    input  VPWR;
+    input  VGND;
+    input  VPB ;
+    input  VNB ;
+endmodule
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_PP_BLACKBOX_V
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.pp.symbol.svg b/cells/a2111o/sky130_fd_sc_hd__a2111o.pp.symbol.svg
new file mode 100644
index 0000000..23b262f
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.pp.symbol.svg
@@ -0,0 +1,75 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created by Symbolator http://kevinpt.github.io/symbolator -->
+<svg xmlns="http://www.w3.org/2000/svg"
+xmlns:xlink="http://www.w3.org/1999/xlink"
+xml:space="preserve"
+width="191" height="339" viewBox="-25 -56 191.0 339.0" version="1.1">
+<style type="text/css">
+<![CDATA[
+.fnt1 { fill:#000000; font-family:Times; font-size:12pt; font-weight:normal; font-style:italic; }
+.fnt2 { fill:#000000; font-family:Helvetica; font-size:12pt; font-weight:normal; font-style:normal; }
+.fnt3 { fill:#000000; font-family:Helvetica; font-size:16pt; font-weight:bold; font-style:normal; }
+.fnt4 { fill:#000000; font-family:Helvetica; font-size:10pt; font-style:normal; }
+.label {fill:#000;
+  text-anchor:middle;
+  font-size:16pt; font-weight:bold; font-family:Sans;}
+.link {fill: #0D47A1;}
+.link:hover {fill: #0D47A1; text-decoration:underline;}
+.link:visited {fill: #4A148C;}
+]]>
+</style>
+<defs>
+
+</defs>
+<rect x="-25" y="-56" width="100%" height="100%" fill="white"/><g transform="translate(0,0)">
+<rect x="0" y="-21.0" width="140" height="149.0" stroke="#000000" fill="#CCFED2" stroke-width="1"/>
+<text class="fnt1" x="70.0" y="-5.0" text-anchor="middle" dy="5.5">Data Signals</text>
+<g transform="translate(0,19)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">A1</text>
+</g>
+<g transform="translate(0,41)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">A2</text>
+</g>
+<g transform="translate(0,63)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">B1</text>
+</g>
+<g transform="translate(0,85)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">C1</text>
+</g>
+<g transform="translate(0,107)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">D1</text>
+</g>
+<g transform="translate(140,19)">
+<line x1="20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="-10" y="0" text-anchor="end" dy="5.5">X</text>
+</g>
+</g>
+<g transform="translate(0,149.0)">
+<rect x="0" y="-21.0" width="140" height="127.0" stroke="#000000" fill="#FFBBBB" stroke-width="1"/>
+<text class="fnt1" x="70.0" y="-5.0" text-anchor="middle" dy="5.5">Power</text>
+<g transform="translate(0,19)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">VPB</text>
+</g>
+<g transform="translate(0,41)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">VPWR</text>
+</g>
+<g transform="translate(0,63)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">VGND</text>
+</g>
+<g transform="translate(0,85)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">VNB</text>
+</g>
+</g>
+<rect x="1.0" y="-20.0" width="138.0" height="274.0" stroke="#000000" fill="none" stroke-width="3"/>
+<text class="fnt3" x="70.0" y="-30.0" text-anchor="middle" dy="-1.0">a2111o</text>
+<text class="fnt4" x="70.0" y="274.0" text-anchor="middle" dy="1.0">sky130_fd_sc_hd</text>
+</svg>
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.pp.symbol.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.pp.symbol.v
new file mode 100644
index 0000000..2aaeb8f
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.pp.symbol.v
@@ -0,0 +1,55 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HD__A2111O_PP_SYMBOL_V
+`define SKY130_FD_SC_HD__A2111O_PP_SYMBOL_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog stub (with power pins) for graphical symbol definition
+ * generation.
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+(* blackbox *)
+module sky130_fd_sc_hd__a2111o (
+    //# {{data|Data Signals}}
+    input  A1  ,
+    input  A2  ,
+    input  B1  ,
+    input  C1  ,
+    input  D1  ,
+    output X   ,
+
+    //# {{power|Power}}
+    input  VPB ,
+    input  VPWR,
+    input  VGND,
+    input  VNB
+);
+endmodule
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_PP_SYMBOL_V
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.schematic.svg b/cells/a2111o/sky130_fd_sc_hd__a2111o.schematic.svg
new file mode 100644
index 0000000..21415db
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.schematic.svg
@@ -0,0 +1,114 @@
+<svg xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" xmlns:s="https://github.com/nturley/netlistsvg" width="314" height="249">
+  <style>svg {
+    stroke:#000;
+    fill:none;
+  }
+  text {
+    fill:#000;
+    stroke:none;
+    font-size:10px;
+    font-weight: bold;
+    font-family: "Courier New", monospace;
+  }
+  .nodelabel {
+    text-anchor: middle;
+  }
+  .inputPortLabel {
+    text-anchor: end;
+  }
+  .splitjoinBody {
+    fill:#000;
+  }</style>
+  <g s:type="and" transform="translate(77,157)" s:width="30" s:height="25" id="cell_$and$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:57$1">
+    <s:alias val="$and"/>
+    <s:alias val="$logic_and"/>
+    <s:alias val="$_AND_"/>
+    <path d="M0,0 L0,25 L15,25 A15 12.5 0 0 0 15,0 Z" class="cell_$and$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:57$1"/>
+    <g s:x="0" s:y="5" s:pid="A"/>
+    <g s:x="0" s:y="20" s:pid="B"/>
+    <g s:x="30" s:y="12.5" s:pid="Y"/>
+  </g>
+  <g s:type="or" transform="translate(77,27)" s:width="30" s:height="25" id="cell_$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$2">
+    <s:alias val="$or"/>
+    <s:alias val="$logic_or"/>
+    <s:alias val="$_OR_"/>
+    <path d="M0,25 L0,25 L15,25 A15 12.5 0 0 0 15,0 L0,0" class="cell_$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$2"/>
+    <path d="M0,0 A30 25 0 0 1 0,25" class="cell_$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$2"/>
+    <g s:x="3" s:y="5" s:pid="A"/>
+    <g s:x="3" s:y="20" s:pid="B"/>
+    <g s:x="30" s:y="12.5" s:pid="Y"/>
+  </g>
+  <g s:type="or" transform="translate(142,34.5)" s:width="30" s:height="25" id="cell_$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$3">
+    <s:alias val="$or"/>
+    <s:alias val="$logic_or"/>
+    <s:alias val="$_OR_"/>
+    <path d="M0,25 L0,25 L15,25 A15 12.5 0 0 0 15,0 L0,0" class="cell_$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$3"/>
+    <path d="M0,0 A30 25 0 0 1 0,25" class="cell_$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$3"/>
+    <g s:x="3" s:y="5" s:pid="A"/>
+    <g s:x="3" s:y="20" s:pid="B"/>
+    <g s:x="30" s:y="12.5" s:pid="Y"/>
+  </g>
+  <g s:type="or" transform="translate(207,42)" s:width="30" s:height="25" id="cell_$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$4">
+    <s:alias val="$or"/>
+    <s:alias val="$logic_or"/>
+    <s:alias val="$_OR_"/>
+    <path d="M0,25 L0,25 L15,25 A15 12.5 0 0 0 15,0 L0,0" class="cell_$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$4"/>
+    <path d="M0,0 A30 25 0 0 1 0,25" class="cell_$or$./cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v:58$4"/>
+    <g s:x="3" s:y="5" s:pid="A"/>
+    <g s:x="3" s:y="20" s:pid="B"/>
+    <g s:x="30" s:y="12.5" s:pid="Y"/>
+  </g>
+  <g s:type="outputExt" transform="translate(272,44.5)" s:width="30" s:height="20" id="cell_X">
+    <text x="15" y="-4" class="nodelabel cell_X" s:attribute="ref">X</text>
+    <s:alias val="$_outputExt_"/>
+    <path d="M30,0 L30,20 L15,20 L0,10 L15,0 Z" class="cell_X"/>
+    <g s:x="0" s:y="10" s:pid="A"/>
+  </g>
+  <g s:type="inputExt" transform="translate(12,152)" s:width="30" s:height="20" id="cell_A1">
+    <text x="15" y="-4" class="nodelabel cell_A1" s:attribute="ref">A1</text>
+    <s:alias val="$_inputExt_"/>
+    <path d="M0,0 L0,20 L15,20 L30,10 L15,0 Z" class="cell_A1"/>
+    <g s:x="28" s:y="10" s:pid="Y"/>
+  </g>
+  <g s:type="inputExt" transform="translate(12,217)" s:width="30" s:height="20" id="cell_A2">
+    <text x="15" y="-4" class="nodelabel cell_A2" s:attribute="ref">A2</text>
+    <s:alias val="$_inputExt_"/>
+    <path d="M0,0 L0,20 L15,20 L30,10 L15,0 Z" class="cell_A2"/>
+    <g s:x="28" s:y="10" s:pid="Y"/>
+  </g>
+  <g s:type="inputExt" transform="translate(12,87)" s:width="30" s:height="20" id="cell_B1">
+    <text x="15" y="-4" class="nodelabel cell_B1" s:attribute="ref">B1</text>
+    <s:alias val="$_inputExt_"/>
+    <path d="M0,0 L0,20 L15,20 L30,10 L15,0 Z" class="cell_B1"/>
+    <g s:x="28" s:y="10" s:pid="Y"/>
+  </g>
+  <g s:type="inputExt" transform="translate(12,22)" s:width="30" s:height="20" id="cell_C1">
+    <text x="15" y="-4" class="nodelabel cell_C1" s:attribute="ref">C1</text>
+    <s:alias val="$_inputExt_"/>
+    <path d="M0,0 L0,20 L15,20 L30,10 L15,0 Z" class="cell_C1"/>
+    <g s:x="28" s:y="10" s:pid="Y"/>
+  </g>
+  <g s:type="inputExt" transform="translate(142,104.5)" s:width="30" s:height="20" id="cell_D1">
+    <text x="15" y="-4" class="nodelabel cell_D1" s:attribute="ref">D1</text>
+    <s:alias val="$_inputExt_"/>
+    <path d="M0,0 L0,20 L15,20 L30,10 L15,0 Z" class="cell_D1"/>
+    <g s:x="28" s:y="10" s:pid="Y"/>
+  </g>
+  <line x1="40" x2="77" y1="162" y2="162" class="net_3"/>
+  <line x1="40" x2="52" y1="227" y2="227" class="net_4"/>
+  <line x1="52" x2="52" y1="227" y2="177" class="net_4"/>
+  <line x1="52" x2="77" y1="177" y2="177" class="net_4"/>
+  <line x1="40" x2="80" y1="32" y2="32" class="net_6"/>
+  <line x1="40" x2="52" y1="97" y2="97" class="net_5"/>
+  <line x1="52" x2="52" y1="97" y2="47" class="net_5"/>
+  <line x1="52" x2="80" y1="47" y2="47" class="net_5"/>
+  <line x1="107" x2="145" y1="39.5" y2="39.5" class="net_9"/>
+  <line x1="107" x2="117" y1="169.5" y2="169.5" class="net_8"/>
+  <line x1="117" x2="117" y1="169.5" y2="54.5" class="net_8"/>
+  <line x1="117" x2="145" y1="54.5" y2="54.5" class="net_8"/>
+  <line x1="172" x2="210" y1="47" y2="47" class="net_10"/>
+  <line x1="170" x2="182" y1="114.5" y2="114.5" class="net_7"/>
+  <line x1="182" x2="182" y1="114.5" y2="62" class="net_7"/>
+  <line x1="182" x2="210" y1="62" y2="62" class="net_7"/>
+  <line x1="237" x2="272" y1="54.5" y2="54.5" class="net_2"/>
+</svg>
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.specify.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.specify.v
new file mode 100644
index 0000000..30d6e9d
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.specify.v
@@ -0,0 +1,31 @@
+/*
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+*/
+
+specify
+(A1 +=> X) = (0:0:0,0:0:0);
+(A2 +=> X) = (0:0:0,0:0:0);
+if ((!A1&!A2&!C1&!D1)) (B1 +=> X) = (0:0:0,0:0:0);
+if ((!A1&A2&!C1&!D1)) (B1 +=> X) = (0:0:0,0:0:0);
+if ((A1&!A2&!C1&!D1)) (B1 +=> X) = (0:0:0,0:0:0);
+if ((!A1&!A2&!B1&!D1)) (C1 +=> X) = (0:0:0,0:0:0);
+if ((!A1&A2&!B1&!D1)) (C1 +=> X) = (0:0:0,0:0:0);
+if ((A1&!A2&!B1&!D1)) (C1 +=> X) = (0:0:0,0:0:0);
+if ((!A1&!A2&!B1&!C1)) (D1 +=> X) = (0:0:0,0:0:0);
+if ((!A1&A2&!B1&!C1)) (D1 +=> X) = (0:0:0,0:0:0);
+if ((A1&!A2&!B1&!C1)) (D1 +=> X) = (0:0:0,0:0:0);
+endspecify
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.symbol.svg b/cells/a2111o/sky130_fd_sc_hd__a2111o.symbol.svg
new file mode 100644
index 0000000..1c0813f
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.symbol.svg
@@ -0,0 +1,55 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created by Symbolator http://kevinpt.github.io/symbolator -->
+<svg xmlns="http://www.w3.org/2000/svg"
+xmlns:xlink="http://www.w3.org/1999/xlink"
+xml:space="preserve"
+width="191" height="212" viewBox="-25 -56 191.0 212.0" version="1.1">
+<style type="text/css">
+<![CDATA[
+.fnt1 { fill:#000000; font-family:Times; font-size:12pt; font-weight:normal; font-style:italic; }
+.fnt2 { fill:#000000; font-family:Helvetica; font-size:12pt; font-weight:normal; font-style:normal; }
+.fnt3 { fill:#000000; font-family:Helvetica; font-size:16pt; font-weight:bold; font-style:normal; }
+.fnt4 { fill:#000000; font-family:Helvetica; font-size:10pt; font-style:normal; }
+.label {fill:#000;
+  text-anchor:middle;
+  font-size:16pt; font-weight:bold; font-family:Sans;}
+.link {fill: #0D47A1;}
+.link:hover {fill: #0D47A1; text-decoration:underline;}
+.link:visited {fill: #4A148C;}
+]]>
+</style>
+<defs>
+
+</defs>
+<rect x="-25" y="-56" width="100%" height="100%" fill="white"/><g transform="translate(0,0)">
+<rect x="0" y="-21.0" width="140" height="149.0" stroke="#000000" fill="#CCFED2" stroke-width="1"/>
+<text class="fnt1" x="70.0" y="-5.0" text-anchor="middle" dy="5.5">Data Signals</text>
+<g transform="translate(0,19)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">A1</text>
+</g>
+<g transform="translate(0,41)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">A2</text>
+</g>
+<g transform="translate(0,63)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">B1</text>
+</g>
+<g transform="translate(0,85)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">C1</text>
+</g>
+<g transform="translate(0,107)">
+<line x1="-20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="10" y="0" text-anchor="normal" dy="5.5">D1</text>
+</g>
+<g transform="translate(140,19)">
+<line x1="20" y1="0" x2="0" y2="0" stroke="#000000" fill="none" stroke-width="1"/>
+<text class="fnt2" x="-10" y="0" text-anchor="end" dy="5.5">X</text>
+</g>
+</g>
+<rect x="1.0" y="-20.0" width="138.0" height="147.0" stroke="#000000" fill="none" stroke-width="3"/>
+<text class="fnt3" x="70.0" y="-30.0" text-anchor="middle" dy="-1.0">a2111o</text>
+<text class="fnt4" x="70.0" y="147.0" text-anchor="middle" dy="1.0">sky130_fd_sc_hd</text>
+</svg>
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.symbol.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.symbol.v
new file mode 100644
index 0000000..639fcf1
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.symbol.v
@@ -0,0 +1,56 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HD__A2111O_SYMBOL_V
+`define SKY130_FD_SC_HD__A2111O_SYMBOL_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog stub (without power pins) for graphical symbol definition
+ * generation.
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+(* blackbox *)
+module sky130_fd_sc_hd__a2111o (
+    //# {{data|Data Signals}}
+    input  A1,
+    input  A2,
+    input  B1,
+    input  C1,
+    input  D1,
+    output X
+);
+
+    // Voltage supply signals
+    supply1 VPWR;
+    supply0 VGND;
+    supply1 VPB ;
+    supply0 VNB ;
+
+endmodule
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_SYMBOL_V
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.tb.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.tb.v
new file mode 100644
index 0000000..8dfe2d1
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.tb.v
@@ -0,0 +1,118 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HD__A2111O_TB_V
+`define SKY130_FD_SC_HD__A2111O_TB_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Autogenerated test bench.
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+`include "sky130_fd_sc_hd__a2111o.v"
+
+module top();
+
+    // Inputs are registered
+    reg A1;
+    reg A2;
+    reg B1;
+    reg C1;
+    reg D1;
+    reg VPWR;
+    reg VGND;
+    reg VPB;
+    reg VNB;
+
+    // Outputs are wires
+    wire X;
+
+    initial
+    begin
+        // Initial state is x for all inputs.
+        A1   = 1'bX;
+        A2   = 1'bX;
+        B1   = 1'bX;
+        C1   = 1'bX;
+        D1   = 1'bX;
+        VGND = 1'bX;
+        VNB  = 1'bX;
+        VPB  = 1'bX;
+        VPWR = 1'bX;
+
+        #20   A1   = 1'b0;
+        #40   A2   = 1'b0;
+        #60   B1   = 1'b0;
+        #80   C1   = 1'b0;
+        #100  D1   = 1'b0;
+        #120  VGND = 1'b0;
+        #140  VNB  = 1'b0;
+        #160  VPB  = 1'b0;
+        #180  VPWR = 1'b0;
+        #200  A1   = 1'b1;
+        #220  A2   = 1'b1;
+        #240  B1   = 1'b1;
+        #260  C1   = 1'b1;
+        #280  D1   = 1'b1;
+        #300  VGND = 1'b1;
+        #320  VNB  = 1'b1;
+        #340  VPB  = 1'b1;
+        #360  VPWR = 1'b1;
+        #380  A1   = 1'b0;
+        #400  A2   = 1'b0;
+        #420  B1   = 1'b0;
+        #440  C1   = 1'b0;
+        #460  D1   = 1'b0;
+        #480  VGND = 1'b0;
+        #500  VNB  = 1'b0;
+        #520  VPB  = 1'b0;
+        #540  VPWR = 1'b0;
+        #560  VPWR = 1'b1;
+        #580  VPB  = 1'b1;
+        #600  VNB  = 1'b1;
+        #620  VGND = 1'b1;
+        #640  D1   = 1'b1;
+        #660  C1   = 1'b1;
+        #680  B1   = 1'b1;
+        #700  A2   = 1'b1;
+        #720  A1   = 1'b1;
+        #740  VPWR = 1'bx;
+        #760  VPB  = 1'bx;
+        #780  VNB  = 1'bx;
+        #800  VGND = 1'bx;
+        #820  D1   = 1'bx;
+        #840  C1   = 1'bx;
+        #860  B1   = 1'bx;
+        #880  A2   = 1'bx;
+        #900  A1   = 1'bx;
+    end
+
+    sky130_fd_sc_hd__a2111o dut (.A1(A1), .A2(A2), .B1(B1), .C1(C1), .D1(D1), .VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB), .X(X));
+
+endmodule
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_TB_V
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o.v b/cells/a2111o/sky130_fd_sc_hd__a2111o.v
new file mode 100644
index 0000000..5bca548
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o.v
@@ -0,0 +1,54 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HD__A2111O_V
+`define SKY130_FD_SC_HD__A2111O_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog top module.
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+`ifdef USE_POWER_PINS
+
+`ifdef FUNCTIONAL
+`include "sky130_fd_sc_hd__a2111o.pp.functional.v"
+`else  // FUNCTIONAL
+`include "sky130_fd_sc_hd__a2111o.pp.behavioral.v"
+`endif // FUNCTIONAL
+
+`else  // USE_POWER_PINS
+
+`ifdef FUNCTIONAL
+`include "sky130_fd_sc_hd__a2111o.functional.v"
+`else  // FUNCTIONAL
+`include "sky130_fd_sc_hd__a2111o.behavioral.v"
+`endif // FUNCTIONAL
+
+`endif // USE_POWER_PINS
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_V
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1.cdl b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.cdl
new file mode 100644
index 0000000..2750e77
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.cdl
@@ -0,0 +1,43 @@
+* Copyright 2020 The SkyWater PDK Authors
+*
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+*
+* SPDX-License-Identifier: Apache-2.0
+
+.SUBCKT sky130_fd_sc_hd__a2111o_1 A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
+*.PININFO A1:I A2:I B1:I C1:I D1:I VGND:I VNB:I VPB:I VPWR:I X:O
+MMPA0 pndA A1 VPB phighvt m=1 w=1.0 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMPA1 pndA A2 VPB phighvt m=1 w=1.0 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMPB0 pndB B1 VPB phighvt m=1 w=1.0 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMPC0 pndC C1 VPB phighvt m=1 w=1.0 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMPD0 y D1 VPB phighvt m=1 w=1.0 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMIPX X y VPB phighvt m=1 w=1.0 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMNA0 y A1 VNB nshort m=1 w=0.65 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMNA1 sndA1 A2 VNB nshort m=1 w=0.65 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMNB0 y B1 VNB nshort m=1 w=0.65 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMNC0 y C1 VNB nshort m=1 w=0.65 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMND0 y D1 VNB nshort m=1 w=0.65 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMINX X y VNB nshort m=1 w=0.65 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+.ENDS sky130_fd_sc_hd__a2111o_1
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1.gds b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.gds
new file mode 100644
index 0000000..b4d1193
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.gds
Binary files differ
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1.lef b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.lef
new file mode 100644
index 0000000..eb0f9b4
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.lef
@@ -0,0 +1,130 @@
+# Copyright 2020 The SkyWater PDK Authors
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#     https://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+VERSION 5.5 ;
+NAMESCASESENSITIVE ON ;
+BUSBITCHARS "[]" ;
+DIVIDERCHAR "/" ;
+MACRO sky130_fd_sc_hd__a2111o_1
+  CLASS CORE ;
+  SOURCE USER ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.995000 3.290000 1.325000 ;
+        RECT 2.985000 0.285000 3.540000 0.845000 ;
+        RECT 2.985000 0.845000 3.290000 0.995000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.510000 1.025000 4.010000 1.290000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.400000 0.995000 2.680000 2.465000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.890000 1.050000 2.220000 2.465000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.290000 1.050000 1.720000 1.290000 ;
+        RECT 1.515000 1.290000 1.720000 2.465000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.504500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.255000 0.465000 1.620000 ;
+        RECT 0.135000 1.620000 0.390000 2.460000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 -0.085000 4.140000 0.085000 ;
+        RECT 0.635000  0.085000 1.310000 0.470000 ;
+        RECT 2.085000  0.085000 2.430000 0.485000 ;
+        RECT 3.715000  0.085000 3.955000 0.760000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 2.635000 4.140000 2.805000 ;
+        RECT 0.565000 1.815000 0.895000 2.635000 ;
+        RECT 3.325000 1.835000 3.540000 2.635000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.695000 0.650000 1.915000 0.655000 ;
+      RECT 0.695000 0.655000 2.805000 0.825000 ;
+      RECT 0.695000 0.825000 0.915000 1.465000 ;
+      RECT 0.695000 1.465000 1.345000 1.645000 ;
+      RECT 1.135000 1.645000 1.345000 2.460000 ;
+      RECT 1.585000 0.260000 1.915000 0.650000 ;
+      RECT 2.600000 0.260000 2.805000 0.655000 ;
+      RECT 2.860000 1.495000 3.990000 1.665000 ;
+      RECT 2.860000 1.665000 3.145000 2.460000 ;
+      RECT 3.720000 1.665000 3.990000 2.460000 ;
+  END
+END sky130_fd_sc_hd__a2111o_1
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1.magic.lef b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.magic.lef
new file mode 100644
index 0000000..e892626
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.magic.lef
@@ -0,0 +1,151 @@
+# Copyright 2020 The SkyWater PDK Authors
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#     https://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+VERSION 5.7 ;
+  NAMESCASESENSITIVE ON ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+UNITS
+  DATABASE MICRONS 200 ;
+END UNITS
+MACRO sky130_fd_sc_hd__a2111o_1
+  CLASS CORE ;
+  SOURCE USER ;
+  FOREIGN sky130_fd_sc_hd__a2111o_1 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.140000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.995000 3.290000 1.325000 ;
+        RECT 2.985000 0.285000 3.540000 0.845000 ;
+        RECT 2.985000 0.845000 3.290000 0.995000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.510000 1.025000 4.010000 1.290000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.400000 0.995000 2.680000 2.465000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.890000 1.050000 2.220000 2.465000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.290000 1.050000 1.720000 1.290000 ;
+        RECT 1.515000 1.290000 1.720000 2.465000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.504500 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.135000 0.255000 0.465000 1.620000 ;
+        RECT 0.135000 1.620000 0.390000 2.460000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 -0.085000 4.140000 0.085000 ;
+        RECT 0.635000  0.085000 1.310000 0.470000 ;
+        RECT 2.085000  0.085000 2.430000 0.485000 ;
+        RECT 3.715000  0.085000 3.955000 0.760000 ;
+      LAYER mcon ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+        RECT 0.605000 -0.085000 0.775000 0.085000 ;
+        RECT 1.065000 -0.085000 1.235000 0.085000 ;
+        RECT 1.525000 -0.085000 1.695000 0.085000 ;
+        RECT 1.985000 -0.085000 2.155000 0.085000 ;
+        RECT 2.445000 -0.085000 2.615000 0.085000 ;
+        RECT 2.905000 -0.085000 3.075000 0.085000 ;
+        RECT 3.365000 -0.085000 3.535000 0.085000 ;
+        RECT 3.825000 -0.085000 3.995000 0.085000 ;
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.140000 0.240000 ;
+    END
+  END VGND
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 2.635000 4.140000 2.805000 ;
+        RECT 0.565000 1.815000 0.895000 2.635000 ;
+        RECT 3.325000 1.835000 3.540000 2.635000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.635000 0.315000 2.805000 ;
+        RECT 0.605000 2.635000 0.775000 2.805000 ;
+        RECT 1.065000 2.635000 1.235000 2.805000 ;
+        RECT 1.525000 2.635000 1.695000 2.805000 ;
+        RECT 1.985000 2.635000 2.155000 2.805000 ;
+        RECT 2.445000 2.635000 2.615000 2.805000 ;
+        RECT 2.905000 2.635000 3.075000 2.805000 ;
+        RECT 3.365000 2.635000 3.535000 2.805000 ;
+        RECT 3.825000 2.635000 3.995000 2.805000 ;
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.140000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 0.695000 0.650000 1.915000 0.655000 ;
+      RECT 0.695000 0.655000 2.805000 0.825000 ;
+      RECT 0.695000 0.825000 0.915000 1.465000 ;
+      RECT 0.695000 1.465000 1.345000 1.645000 ;
+      RECT 1.135000 1.645000 1.345000 2.460000 ;
+      RECT 1.585000 0.260000 1.915000 0.650000 ;
+      RECT 2.600000 0.260000 2.805000 0.655000 ;
+      RECT 2.860000 1.495000 3.990000 1.665000 ;
+      RECT 2.860000 1.665000 3.145000 2.460000 ;
+      RECT 3.720000 1.665000 3.990000 2.460000 ;
+  END
+END sky130_fd_sc_hd__a2111o_1
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1.netlist.tsv b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.netlist.tsv
new file mode 100644
index 0000000..0079b69
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.netlist.tsv
@@ -0,0 +1,14 @@
+sky130_fd_sc_hd__a2111o_1	A1:I A2:I B1:I C1:I D1:I VGND:I VNB:I VPB:I VPWR:I X:O

+Name	Formula	nd	ng	ns	nb	mname	m	w	l	mult	sa	sb	sd	topography	area	perim

+MMPA0	MOSFET	pndA	A1	VPWR	VPB	phighvt	1	1.0	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMPA1	MOSFET	pndA	A2	VPWR	VPB	phighvt	1	1.0	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMPB0	MOSFET	pndB	B1	pndA	VPB	phighvt	1	1.0	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMPC0	MOSFET	pndC	C1	pndB	VPB	phighvt	1	1.0	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMPD0	MOSFET	y	D1	pndC	VPB	phighvt	1	1.0	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMIPX	MOSFET	X	y	VPWR	VPB	phighvt	1	1.0	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMNA0	MOSFET	y	A1	sndA1	VNB	nshort	1	0.65	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMNA1	MOSFET	sndA1	A2	VGND	VNB	nshort	1	0.65	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMNB0	MOSFET	y	B1	VGND	VNB	nshort	1	0.65	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMNC0	MOSFET	y	C1	VGND	VNB	nshort	1	0.65	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMND0	MOSFET	y	D1	VGND	VNB	nshort	1	0.65	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMINX	MOSFET	X	y	VGND	VNB	nshort	1	0.65	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1.spice b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.spice
new file mode 100644
index 0000000..8a18ce5
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.spice
@@ -0,0 +1,29 @@
+* NGSPICE file created from sky130_fd_sc_hd__a2111o_1.ext - technology: sky130A
+
+.subckt sky130_fd_sc_hd__a2111o_1 A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
+M1000 VPWR a_85_193# X VPB phighvt w=1e+06u l=150000u
++  ad=5.35e+11p pd=5.07e+06u as=2.9e+11p ps=2.58e+06u
+M1001 VGND a_85_193# X VNB nshort w=650000u l=150000u
++  ad=9.7175e+11p pd=6.89e+06u as=2.145e+11p ps=1.96e+06u
+M1002 a_414_297# C1 a_334_297# VPB phighvt w=1e+06u l=150000u
++  ad=3.6e+11p pd=2.72e+06u as=2.5e+11p ps=2.5e+06u
+M1003 a_516_297# B1 a_414_297# VPB phighvt w=1e+06u l=150000u
++  ad=8.5e+11p pd=5.7e+06u as=0p ps=0u
+M1004 VGND C1 a_85_193# VNB nshort w=650000u l=150000u
++  ad=0p pd=0u as=5.72e+11p ps=4.36e+06u
+M1005 a_516_297# A2 VPWR VPB phighvt w=1e+06u l=150000u
++  ad=0p pd=0u as=0p ps=0u
+M1006 a_334_297# D1 a_85_193# VPB phighvt w=1e+06u l=150000u
++  ad=0p pd=0u as=3.85e+11p ps=2.77e+06u
+M1007 a_660_47# A1 a_85_193# VNB nshort w=650000u l=150000u
++  ad=1.6575e+11p pd=1.81e+06u as=0p ps=0u
+M1008 VGND A2 a_660_47# VNB nshort w=650000u l=150000u
++  ad=0p pd=0u as=0p ps=0u
+M1009 a_85_193# B1 VGND VNB nshort w=650000u l=150000u
++  ad=0p pd=0u as=0p ps=0u
+M1010 VPWR A1 a_516_297# VPB phighvt w=1e+06u l=150000u
++  ad=0p pd=0u as=0p ps=0u
+M1011 a_85_193# D1 VGND VNB nshort w=650000u l=150000u
++  ad=0p pd=0u as=0p ps=0u
+.ends
+
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1.svg b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.svg
new file mode 100644
index 0000000..f55242a
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.svg
@@ -0,0 +1,222 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<svg xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" width="1898pt" height="1059pt" viewBox="0 0 1898 1059" version="1.2">
+<defs>
+<clipPath id="clip1">
+  <path d="M 18.5 2.5 L 1898 2.5 L 1898 1059 L 18.5 1059 Z M 18.5 2.5 "/>
+</clipPath>
+<clipPath id="clip2">
+  <path d="M 343.5 112.5 L 1579.5 112.5 L 1579.5 551.5 L 343.5 551.5 Z M 343.5 112.5 "/>
+</clipPath>
+<image id="image381" width="32" height="32" xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAAAAABWESUoAAAAAmJLR0QA/4ePzL8AAAApSURBVDiNY2Rg+M/AiAczMdAe/P+PH9PBDYyj4cDAwDAaDjAwGg4QAAAzhF/RgilCzQAAAABJRU5ErkJggg=="/>
+<pattern id="pattern0" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image381"/>
+</pattern>
+<mask id="mask0">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern0);stroke:none;"/>
+</mask>
+<clipPath id="clip3">
+  <path d="M 935.5 879.5 L 982.5 879.5 L 982.5 926.5 L 935.5 926.5 Z M 434.5 885.5 L 481.5 885.5 L 481.5 931.5 L 434.5 931.5 Z M 434.5 885.5 "/>
+</clipPath>
+<pattern id="pattern1" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image381"/>
+</pattern>
+<mask id="mask1">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern1);stroke:none;"/>
+</mask>
+<clipPath id="clip4">
+  <path d="M 511.5 193.5 L 552.5 193.5 L 552.5 228.5 L 511.5 228.5 Z M 1369.5 193.5 L 1410.5 193.5 L 1410.5 228.5 L 1369.5 228.5 Z M 1254.5 193.5 L 1295.5 193.5 L 1295.5 228.5 L 1254.5 228.5 Z M 1060.5 193.5 L 1101.5 193.5 L 1101.5 228.5 L 1060.5 228.5 Z M 920.5 193.5 L 961.5 193.5 L 961.5 228.5 L 920.5 228.5 Z M 811.5 193.5 L 852.5 193.5 L 852.5 228.5 L 811.5 228.5 Z M 920.5 502.5 L 961.5 502.5 L 961.5 531.5 L 920.5 531.5 Z M 511.5 502.5 L 552.5 502.5 L 552.5 542.5 L 511.5 542.5 Z M 1060.5 502.5 L 1101.5 502.5 L 1101.5 544.5 L 1060.5 544.5 Z M 1254.5 502.5 L 1295.5 502.5 L 1295.5 544.5 L 1254.5 544.5 Z M 1209.5 544.5 L 1295.5 544.5 L 1295.5 546.5 L 1209.5 546.5 Z M 1369.5 502.5 L 1410.5 502.5 L 1410.5 546.5 L 1369.5 546.5 Z M 811.5 502.5 L 852.5 502.5 L 852.5 546.5 L 811.5 546.5 Z M 1367.5 546.5 L 1460.5 546.5 L 1460.5 568.5 L 1367.5 568.5 Z M 756.5 546.5 L 852.5 546.5 L 852.5 568.5 L 756.5 568.5 Z M 511.5 542.5 L 657.5 542.5 L 657.5 568.5 L 511.5 568.5 Z M 920.5 531.5 L 1002.5 531.5 L 1002.5 568.5 L 920.5 568.5 Z M 1209.5 546.5 L 1302.5 546.5 L 1302.5 568.5 L 1209.5 568.5 Z M 1060.5 544.5 L 1150.5 544.5 L 1150.5 568.5 L 1060.5 568.5 Z M 1209.5 568.5 L 1302.5 568.5 L 1302.5 614.5 L 1209.5 614.5 Z M 920.5 568.5 L 1002.5 568.5 L 1002.5 614.5 L 920.5 614.5 Z M 1060.5 568.5 L 1150.5 568.5 L 1150.5 614.5 L 1060.5 614.5 Z M 756.5 568.5 L 852.5 568.5 L 852.5 614.5 L 756.5 614.5 Z M 1367.5 568.5 L 1460.5 568.5 L 1460.5 614.5 L 1367.5 614.5 Z M 511.5 568.5 L 657.5 568.5 L 657.5 614.5 L 511.5 614.5 Z M 756.5 614.5 L 852.5 614.5 L 852.5 636.5 L 756.5 636.5 Z M 920.5 614.5 L 1002.5 614.5 L 1002.5 636.5 L 920.5 636.5 Z M 1367.5 614.5 L 1460.5 614.5 L 1460.5 644.5 L 1367.5 644.5 Z M 511.5 614.5 L 657.5 614.5 L 657.5 644.5 L 511.5 644.5 Z M 1209.5 614.5 L 1302.5 614.5 L 1302.5 644.5 L 1209.5 644.5 Z M 522.5 644.5 L 657.5 644.5 L 657.5 646.5 L 522.5 646.5 Z M 1060.5 614.5 L 1150.5 614.5 L 1150.5 646.5 L 1060.5 646.5 Z M 1060.5 646.5 L 1101.5 646.5 L 1101.5 666.5 L 1060.5 666.5 Z M 794.5 636.5 L 835.5 636.5 L 835.5 666.5 L 794.5 666.5 Z M 920.5 636.5 L 961.5 636.5 L 961.5 666.5 L 920.5 666.5 Z M 1256.5 644.5 L 1297.5 644.5 L 1297.5 666.5 L 1256.5 666.5 Z M 522.5 646.5 L 563.5 646.5 L 563.5 666.5 L 522.5 666.5 Z M 1367.5 644.5 L 1408.5 644.5 L 1408.5 666.5 L 1367.5 666.5 Z M 522.5 844.5 L 563.5 844.5 L 563.5 879.5 L 522.5 879.5 Z M 1367.5 844.5 L 1408.5 844.5 L 1408.5 879.5 L 1367.5 879.5 Z M 1256.5 844.5 L 1297.5 844.5 L 1297.5 879.5 L 1256.5 879.5 Z M 1060.5 844.5 L 1101.5 844.5 L 1101.5 879.5 L 1060.5 879.5 Z M 920.5 844.5 L 961.5 844.5 L 961.5 879.5 L 920.5 879.5 Z M 794.5 844.5 L 835.5 844.5 L 835.5 879.5 L 794.5 879.5 Z M 794.5 844.5 "/>
+</clipPath>
+<clipPath id="clip5">
+  <path d="M 432.5 666.5 L 522.5 666.5 L 522.5 682.5 L 432.5 682.5 Z M 835.5 666.5 L 920.5 666.5 L 920.5 685.5 L 835.5 685.5 Z M 432.5 682.5 L 522.5 682.5 L 522.5 729.5 L 432.5 729.5 Z M 835.5 685.5 L 920.5 685.5 L 920.5 732.5 L 835.5 732.5 Z M 1101.5 666.5 L 1256.5 666.5 L 1256.5 748.5 L 1101.5 748.5 Z M 1408.5 666.5 L 1488.5 666.5 L 1488.5 755.5 L 1408.5 755.5 Z M 961.5 666.5 L 1060.5 666.5 L 1060.5 775.5 L 961.5 775.5 Z M 432.5 729.5 L 522.5 729.5 L 522.5 775.5 L 432.5 775.5 Z M 835.5 732.5 L 920.5 732.5 L 920.5 778.5 L 835.5 778.5 Z M 563.5 666.5 L 794.5 666.5 L 794.5 786.5 L 563.5 786.5 Z M 1101.5 748.5 L 1256.5 748.5 L 1256.5 795.5 L 1101.5 795.5 Z M 1408.5 755.5 L 1488.5 755.5 L 1488.5 801.5 L 1408.5 801.5 Z M 961.5 775.5 L 1060.5 775.5 L 1060.5 822.5 L 961.5 822.5 Z M 432.5 775.5 L 522.5 775.5 L 522.5 822.5 L 432.5 822.5 Z M 835.5 778.5 L 920.5 778.5 L 920.5 825.5 L 835.5 825.5 Z M 563.5 786.5 L 794.5 786.5 L 794.5 833.5 L 563.5 833.5 Z M 432.5 822.5 L 522.5 822.5 L 522.5 844.5 L 432.5 844.5 Z M 1408.5 801.5 L 1488.5 801.5 L 1488.5 844.5 L 1408.5 844.5 Z M 1297.5 666.5 L 1367.5 666.5 L 1367.5 844.5 L 1297.5 844.5 Z M 563.5 833.5 L 794.5 833.5 L 794.5 844.5 L 563.5 844.5 Z M 961.5 822.5 L 1060.5 822.5 L 1060.5 844.5 L 961.5 844.5 Z M 835.5 825.5 L 920.5 825.5 L 920.5 844.5 L 835.5 844.5 Z M 1101.5 795.5 L 1256.5 795.5 L 1256.5 844.5 L 1101.5 844.5 Z M 1101.5 795.5 "/>
+</clipPath>
+<clipPath id="clip6">
+  <path d="M 1295.5 228.5 L 1369.5 228.5 L 1369.5 243.5 L 1295.5 243.5 Z M 552.5 228.5 L 625.5 228.5 L 625.5 250.5 L 552.5 250.5 Z M 432.5 228.5 L 511.5 228.5 L 511.5 257.5 L 432.5 257.5 Z M 705.5 228.5 L 811.5 228.5 L 811.5 257.5 L 705.5 257.5 Z M 1410.5 228.5 L 1489.5 228.5 L 1489.5 275.5 L 1410.5 275.5 Z M 1101.5 228.5 L 1254.5 228.5 L 1254.5 276.5 L 1101.5 276.5 Z M 1295.5 243.5 L 1369.5 243.5 L 1369.5 290.5 L 1295.5 290.5 Z M 552.5 250.5 L 625.5 250.5 L 625.5 297.5 L 552.5 297.5 Z M 705.5 257.5 L 811.5 257.5 L 811.5 304.5 L 705.5 304.5 Z M 432.5 257.5 L 511.5 257.5 L 511.5 304.5 L 432.5 304.5 Z M 1410.5 275.5 L 1489.5 275.5 L 1489.5 321.5 L 1410.5 321.5 Z M 1101.5 276.5 L 1254.5 276.5 L 1254.5 323.5 L 1101.5 323.5 Z M 1295.5 290.5 L 1369.5 290.5 L 1369.5 336.5 L 1295.5 336.5 Z M 552.5 297.5 L 625.5 297.5 L 625.5 343.5 L 552.5 343.5 Z M 432.5 304.5 L 511.5 304.5 L 511.5 350.5 L 432.5 350.5 Z M 1410.5 321.5 L 1489.5 321.5 L 1489.5 368.5 L 1410.5 368.5 Z M 1295.5 336.5 L 1369.5 336.5 L 1369.5 383.5 L 1295.5 383.5 Z M 552.5 343.5 L 625.5 343.5 L 625.5 390.5 L 552.5 390.5 Z M 432.5 350.5 L 511.5 350.5 L 511.5 397.5 L 432.5 397.5 Z M 1101.5 323.5 L 1254.5 323.5 L 1254.5 409.5 L 1101.5 409.5 Z M 1410.5 368.5 L 1489.5 368.5 L 1489.5 414.5 L 1410.5 414.5 Z M 705.5 304.5 L 811.5 304.5 L 811.5 439.5 L 705.5 439.5 Z M 432.5 397.5 L 511.5 397.5 L 511.5 444.5 L 432.5 444.5 Z M 1101.5 409.5 L 1254.5 409.5 L 1254.5 455.5 L 1101.5 455.5 Z M 705.5 439.5 L 811.5 439.5 L 811.5 485.5 L 705.5 485.5 Z M 432.5 444.5 L 511.5 444.5 L 511.5 491.5 L 432.5 491.5 Z M 1410.5 414.5 L 1489.5 414.5 L 1489.5 502.5 L 1410.5 502.5 Z M 1295.5 383.5 L 1369.5 383.5 L 1369.5 502.5 L 1295.5 502.5 Z M 552.5 390.5 L 625.5 390.5 L 625.5 502.5 L 552.5 502.5 Z M 705.5 485.5 L 811.5 485.5 L 811.5 502.5 L 705.5 502.5 Z M 1101.5 455.5 L 1254.5 455.5 L 1254.5 502.5 L 1101.5 502.5 Z M 852.5 228.5 L 920.5 228.5 L 920.5 502.5 L 852.5 502.5 Z M 961.5 228.5 L 1060.5 228.5 L 1060.5 502.5 L 961.5 502.5 Z M 432.5 491.5 L 511.5 491.5 L 511.5 502.5 L 432.5 502.5 Z M 432.5 491.5 "/>
+</clipPath>
+<clipPath id="clip7">
+  <path d="M 1367.5 666.5 L 1408.5 666.5 L 1408.5 844.5 L 1367.5 844.5 Z M 522.5 666.5 L 563.5 666.5 L 563.5 844.5 L 522.5 844.5 Z M 794.5 666.5 L 835.5 666.5 L 835.5 844.5 L 794.5 844.5 Z M 920.5 666.5 L 961.5 666.5 L 961.5 844.5 L 920.5 844.5 Z M 1060.5 666.5 L 1101.5 666.5 L 1101.5 844.5 L 1060.5 844.5 Z M 1256.5 666.5 L 1297.5 666.5 L 1297.5 844.5 L 1256.5 844.5 Z M 1256.5 666.5 "/>
+</clipPath>
+<image id="image382" width="32" height="32" xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAAAAABWESUoAAAAAmJLR0QA/4ePzL8AAAAtSURBVDiNY/jPwMDAwMDwHxeNWwZK49E7eFQwwnzDiINmGA0HhtFwGA0HdBoAtQDvIRl1O+YAAAAASUVORK5CYII="/>
+<pattern id="pattern2" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image382"/>
+</pattern>
+<mask id="mask2">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern2);stroke:none;"/>
+</mask>
+<clipPath id="clip8">
+  <path d="M 1369.5 228.5 L 1410.5 228.5 L 1410.5 502.5 L 1369.5 502.5 Z M 511.5 228.5 L 552.5 228.5 L 552.5 502.5 L 511.5 502.5 Z M 811.5 228.5 L 852.5 228.5 L 852.5 502.5 L 811.5 502.5 Z M 920.5 228.5 L 961.5 228.5 L 961.5 502.5 L 920.5 502.5 Z M 1060.5 228.5 L 1101.5 228.5 L 1101.5 502.5 L 1060.5 502.5 Z M 1254.5 228.5 L 1295.5 228.5 L 1295.5 502.5 L 1254.5 502.5 Z M 1254.5 228.5 "/>
+</clipPath>
+<image id="image383" width="32" height="32" xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAAAAABWESUoAAAAAmJLR0QA/4ePzL8AAAAtSURBVDiNY/jPwMDAwMDwHxfNCKUZGHHQBPQPEZphNBxgHsSvbjQcIPQICQcAbQzvIWHO67YAAAAASUVORK5CYII="/>
+<pattern id="pattern3" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image383"/>
+</pattern>
+<mask id="mask3">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern3);stroke:none;"/>
+</mask>
+<clipPath id="clip9">
+  <path d="M 395.5 141.5 L 1527.5 141.5 L 1527.5 187.5 L 395.5 187.5 Z M 1304.5 187.5 L 1363.5 187.5 L 1363.5 243.5 L 1304.5 243.5 Z M 549.5 187.5 L 640.5 187.5 L 640.5 250.5 L 549.5 250.5 Z M 705.5 235.5 L 763.5 235.5 L 763.5 257.5 L 705.5 257.5 Z M 432.5 235.5 L 501.5 235.5 L 501.5 257.5 L 432.5 257.5 Z M 1412.5 235.5 L 1486.5 235.5 L 1486.5 275.5 L 1412.5 275.5 Z M 1177.5 235.5 L 1255.5 235.5 L 1255.5 276.5 L 1177.5 276.5 Z M 1304.5 243.5 L 1363.5 243.5 L 1363.5 290.5 L 1304.5 290.5 Z M 549.5 250.5 L 640.5 250.5 L 640.5 297.5 L 549.5 297.5 Z M 432.5 257.5 L 501.5 257.5 L 501.5 304.5 L 432.5 304.5 Z M 705.5 257.5 L 763.5 257.5 L 763.5 304.5 L 705.5 304.5 Z M 1412.5 275.5 L 1486.5 275.5 L 1486.5 321.5 L 1412.5 321.5 Z M 1177.5 276.5 L 1255.5 276.5 L 1255.5 323.5 L 1177.5 323.5 Z M 1304.5 290.5 L 1363.5 290.5 L 1363.5 336.5 L 1304.5 336.5 Z M 549.5 297.5 L 640.5 297.5 L 640.5 343.5 L 549.5 343.5 Z M 432.5 304.5 L 501.5 304.5 L 501.5 350.5 L 432.5 350.5 Z M 1412.5 321.5 L 1486.5 321.5 L 1486.5 368.5 L 1412.5 368.5 Z M 1304.5 336.5 L 1363.5 336.5 L 1363.5 383.5 L 1304.5 383.5 Z M 549.5 343.5 L 640.5 343.5 L 640.5 390.5 L 549.5 390.5 Z M 432.5 350.5 L 501.5 350.5 L 501.5 397.5 L 432.5 397.5 Z M 1304.5 383.5 L 1363.5 383.5 L 1363.5 406.5 L 1304.5 406.5 Z M 1177.5 323.5 L 1255.5 323.5 L 1255.5 409.5 L 1177.5 409.5 Z M 549.5 390.5 L 640.5 390.5 L 640.5 412.5 L 549.5 412.5 Z M 1412.5 368.5 L 1486.5 368.5 L 1486.5 414.5 L 1412.5 414.5 Z M 705.5 304.5 L 763.5 304.5 L 763.5 439.5 L 705.5 439.5 Z M 432.5 397.5 L 501.5 397.5 L 501.5 444.5 L 432.5 444.5 Z M 1412.5 414.5 L 1486.5 414.5 L 1486.5 453.5 L 1412.5 453.5 Z M 1177.5 409.5 L 1255.5 409.5 L 1255.5 453.5 L 1177.5 453.5 Z M 1177.5 453.5 L 1486.5 453.5 L 1486.5 455.5 L 1177.5 455.5 Z M 705.5 439.5 L 763.5 439.5 L 763.5 458.5 L 705.5 458.5 Z M 432.5 444.5 L 501.5 444.5 L 501.5 465.5 L 432.5 465.5 Z M 585.5 458.5 L 763.5 458.5 L 763.5 485.5 L 585.5 485.5 Z M 432.5 465.5 L 522.5 465.5 L 522.5 491.5 L 432.5 491.5 Z M 1177.5 455.5 L 1486.5 455.5 L 1486.5 499.5 L 1177.5 499.5 Z M 585.5 485.5 L 763.5 485.5 L 763.5 507.5 L 585.5 507.5 Z M 809.5 234.5 L 865.5 234.5 L 865.5 555.5 L 809.5 555.5 Z M 585.5 507.5 L 645.5 507.5 L 645.5 568.5 L 585.5 568.5 Z M 1355.5 555.5 L 1492.5 555.5 L 1492.5 568.5 L 1355.5 568.5 Z M 1051.5 234.5 L 1128.5 234.5 L 1128.5 568.5 L 1051.5 568.5 Z M 912.5 234.5 L 1002.5 234.5 L 1002.5 568.5 L 912.5 568.5 Z M 1189.5 546.5 L 1295.5 546.5 L 1295.5 568.5 L 1189.5 568.5 Z M 748.5 555.5 L 865.5 555.5 L 865.5 568.5 L 748.5 568.5 Z M 1051.5 568.5 L 1128.5 568.5 L 1128.5 614.5 L 1051.5 614.5 Z M 912.5 568.5 L 1002.5 568.5 L 1002.5 614.5 L 912.5 614.5 Z M 748.5 568.5 L 865.5 568.5 L 865.5 614.5 L 748.5 614.5 Z M 1189.5 568.5 L 1295.5 568.5 L 1295.5 614.5 L 1189.5 614.5 Z M 585.5 568.5 L 645.5 568.5 L 645.5 614.5 L 585.5 614.5 Z M 1355.5 568.5 L 1492.5 568.5 L 1492.5 614.5 L 1355.5 614.5 Z M 748.5 614.5 L 865.5 614.5 L 865.5 621.5 L 748.5 621.5 Z M 912.5 614.5 L 1002.5 614.5 L 1002.5 621.5 L 912.5 621.5 Z M 1355.5 614.5 L 1492.5 614.5 L 1492.5 628.5 L 1355.5 628.5 Z M 1051.5 614.5 L 1128.5 614.5 L 1128.5 636.5 L 1051.5 636.5 Z M 1189.5 614.5 L 1295.5 614.5 L 1295.5 636.5 L 1189.5 636.5 Z M 1211.5 636.5 L 1295.5 636.5 L 1295.5 677.5 L 1211.5 677.5 Z M 585.5 614.5 L 645.5 614.5 L 645.5 682.5 L 585.5 682.5 Z M 432.5 491.5 L 522.5 491.5 L 522.5 682.5 L 432.5 682.5 Z M 585.5 682.5 L 1162.5 682.5 L 1162.5 685.5 L 585.5 685.5 Z M 432.5 682.5 L 522.5 682.5 L 522.5 729.5 L 432.5 729.5 Z M 585.5 685.5 L 1162.5 685.5 L 1162.5 729.5 L 585.5 729.5 Z M 585.5 729.5 L 919.5 729.5 L 919.5 730.5 L 585.5 730.5 Z M 828.5 730.5 L 919.5 730.5 L 919.5 732.5 L 828.5 732.5 Z M 1106.5 729.5 L 1162.5 729.5 L 1162.5 748.5 L 1106.5 748.5 Z M 1411.5 700.5 L 1477.5 700.5 L 1477.5 755.5 L 1411.5 755.5 Z M 432.5 729.5 L 522.5 729.5 L 522.5 775.5 L 432.5 775.5 Z M 828.5 732.5 L 919.5 732.5 L 919.5 778.5 L 828.5 778.5 Z M 568.5 780.5 L 753.5 780.5 L 753.5 786.5 L 568.5 786.5 Z M 1106.5 748.5 L 1162.5 748.5 L 1162.5 795.5 L 1106.5 795.5 Z M 1411.5 755.5 L 1477.5 755.5 L 1477.5 801.5 L 1411.5 801.5 Z M 432.5 775.5 L 522.5 775.5 L 522.5 822.5 L 432.5 822.5 Z M 965.5 775.5 L 1060.5 775.5 L 1060.5 822.5 L 965.5 822.5 Z M 828.5 778.5 L 919.5 778.5 L 919.5 825.5 L 828.5 825.5 Z M 1211.5 677.5 L 1363.5 677.5 L 1363.5 830.5 L 1211.5 830.5 Z M 568.5 786.5 L 753.5 786.5 L 753.5 833.5 L 568.5 833.5 Z M 1106.5 795.5 L 1162.5 795.5 L 1162.5 837.5 L 1106.5 837.5 Z M 828.5 825.5 L 919.5 825.5 L 919.5 837.5 L 828.5 837.5 Z M 432.5 822.5 L 522.5 822.5 L 522.5 838.5 L 432.5 838.5 Z M 1411.5 801.5 L 1477.5 801.5 L 1477.5 885.5 L 1411.5 885.5 Z M 568.5 833.5 L 753.5 833.5 L 753.5 885.5 L 568.5 885.5 Z M 965.5 822.5 L 1060.5 822.5 L 1060.5 885.5 L 965.5 885.5 Z M 395.5 885.5 L 1527.5 885.5 L 1527.5 931.5 L 395.5 931.5 Z M 395.5 885.5 "/>
+</clipPath>
+<clipPath id="clip10">
+  <path d="M 395.5 98.5 L 1527.5 98.5 L 1527.5 141.5 L 395.5 141.5 Z M 395.5 141.5 L 1527.5 141.5 L 1527.5 187.5 L 395.5 187.5 Z M 395.5 187.5 L 1527.5 187.5 L 1527.5 230.5 L 395.5 230.5 Z M 395.5 842.5 L 1527.5 842.5 L 1527.5 885.5 L 395.5 885.5 Z M 395.5 885.5 L 1527.5 885.5 L 1527.5 931.5 L 395.5 931.5 Z M 395.5 931.5 L 1527.5 931.5 L 1527.5 974.5 L 395.5 974.5 Z M 395.5 931.5 "/>
+</clipPath>
+<clipPath id="clip11">
+  <path d="M 434.5 141.5 L 481.5 141.5 L 481.5 187.5 L 434.5 187.5 Z M 1441.5 141.5 L 1488.5 141.5 L 1488.5 187.5 L 1441.5 187.5 Z M 1315.5 141.5 L 1362.5 141.5 L 1362.5 187.5 L 1315.5 187.5 Z M 1189.5 141.5 L 1236.5 141.5 L 1236.5 187.5 L 1189.5 187.5 Z M 1064.5 141.5 L 1110.5 141.5 L 1110.5 187.5 L 1064.5 187.5 Z M 938.5 141.5 L 984.5 141.5 L 984.5 187.5 L 938.5 187.5 Z M 812.5 141.5 L 858.5 141.5 L 858.5 187.5 L 812.5 187.5 Z M 686.5 141.5 L 733.5 141.5 L 733.5 187.5 L 686.5 187.5 Z M 560.5 141.5 L 607.5 141.5 L 607.5 187.5 L 560.5 187.5 Z M 434.5 885.5 L 481.5 885.5 L 481.5 931.5 L 434.5 931.5 Z M 1441.5 885.5 L 1488.5 885.5 L 1488.5 931.5 L 1441.5 931.5 Z M 1315.5 885.5 L 1362.5 885.5 L 1362.5 931.5 L 1315.5 931.5 Z M 1189.5 885.5 L 1236.5 885.5 L 1236.5 931.5 L 1189.5 931.5 Z M 1064.5 885.5 L 1110.5 885.5 L 1110.5 931.5 L 1064.5 931.5 Z M 938.5 885.5 L 984.5 885.5 L 984.5 931.5 L 938.5 931.5 Z M 812.5 885.5 L 858.5 885.5 L 858.5 931.5 L 812.5 931.5 Z M 686.5 885.5 L 733.5 885.5 L 733.5 931.5 L 686.5 931.5 Z M 560.5 885.5 L 607.5 885.5 L 607.5 931.5 L 560.5 931.5 Z M 560.5 885.5 "/>
+</clipPath>
+<image id="image384" width="32" height="32" xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAAAAABWESUoAAAAAmJLR0QA/4ePzL8AAAA9SURBVDiN7ZAhEgAgDMNS/v/nIsAgtnpuMzG7axsIJ9xTYEDUBJ/nkqGDQ48FulEFQ36uMR7o94+Hl3942JrBc/FcUmODAAAAAElFTkSuQmCC"/>
+<pattern id="pattern4" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image384"/>
+</pattern>
+<mask id="mask4">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern4);stroke:none;"/>
+</mask>
+</defs>
+<g id="surface378">
+<g clip-path="url(#clip1)" clip-rule="nonzero">
+
+</g>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,87.058824%,0%);stroke-opacity:0.496063;stroke-miterlimit:10;" d="M 343 967 L 1579 967 M 343 528 L 1579 528 M 343 528 L 343 967 M 1579 528 L 1579 967 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<g clip-path="url(#clip2)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(0%,87.058824%,0%);fill-opacity:0.496063;stroke:none;" mask="url(#mask0)"/>
+</g>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(78.431374%,45.490199%,10.196079%);stroke-opacity:0.496063;stroke-miterlimit:10;" d="M 434 194 L 481 194 M 434 148 L 481 148 M 434 148 L 434 194 M 481 148 L 481 194 M 935 200 L 982 200 M 935 153 L 982 153 M 935 153 L 935 200 M 982 153 L 982 200 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<g clip-path="url(#clip3)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(78.431374%,45.490199%,10.196079%);fill-opacity:0.496063;stroke:none;" mask="url(#mask1)"/>
+</g>
+<g clip-path="url(#clip4)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(92.54902%,0%,0%);fill-opacity:0.944882;stroke:none;"/>
+</g>
+<g clip-path="url(#clip5)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(0%,87.058824%,0%);fill-opacity:0.944882;stroke:none;"/>
+</g>
+<g clip-path="url(#clip6)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(78.431374%,45.490199%,10.196079%);fill-opacity:0.944882;stroke:none;"/>
+</g>
+<g clip-path="url(#clip7)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(52.549022%,22.745104%,0%);fill-opacity:0.944882;stroke:none;"/>
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(0%,87.058824%,0%);fill-opacity:0.944882;stroke:none;" mask="url(#mask2)"/>
+</g>
+<g clip-path="url(#clip8)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(64.313728%,0%,0%);fill-opacity:0.944882;stroke:none;"/>
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(78.431374%,45.490199%,10.196079%);fill-opacity:0.944882;stroke:none;" mask="url(#mask3)"/>
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(100%,100%,0%);fill-opacity:0.15748;stroke:none;"/>
+</g>
+<g clip-path="url(#clip9)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(18.039216%,50.196081%,100%);fill-opacity:0.629921;stroke:none;"/>
+</g>
+<g clip-path="url(#clip10)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(69.01961%,40.000004%,94.117647%);fill-opacity:0.629921;stroke:none;"/>
+</g>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,37.647063%,100%);stroke-opacity:0.944882;stroke-miterlimit:10;" d="M 434 938 L 481 938 M 434 892 L 481 892 M 434 892 L 434 938 M 481 892 L 481 938 M 560 938 L 607 938 M 560 892 L 607 892 M 560 892 L 560 938 M 607 892 L 607 938 M 686 938 L 733 938 M 686 892 L 733 892 M 686 892 L 686 938 M 733 892 L 733 938 M 812 938 L 858 938 M 812 892 L 858 892 M 812 892 L 812 938 M 858 892 L 858 938 M 938 938 L 984 938 M 938 892 L 984 892 M 938 892 L 938 938 M 984 892 L 984 938 M 1064 938 L 1110 938 M 1064 892 L 1110 892 M 1064 892 L 1064 938 M 1110 892 L 1110 938 M 1189 938 L 1236 938 M 1189 892 L 1236 892 M 1189 892 L 1189 938 M 1236 892 L 1236 938 M 1315 938 L 1362 938 M 1315 892 L 1362 892 M 1315 892 L 1315 938 M 1362 892 L 1362 938 M 1441 938 L 1488 938 M 1441 892 L 1488 892 M 1441 892 L 1441 938 M 1488 892 L 1488 938 M 434 194 L 481 194 M 434 148 L 481 148 M 434 148 L 434 194 M 481 148 L 481 194 M 560 194 L 607 194 M 560 148 L 607 148 M 560 148 L 560 194 M 607 148 L 607 194 M 686 194 L 733 194 M 686 148 L 733 148 M 686 148 L 686 194 M 733 148 L 733 194 M 812 194 L 858 194 M 812 148 L 858 148 M 812 148 L 812 194 M 858 148 L 858 194 M 938 194 L 984 194 M 938 148 L 984 148 M 938 148 L 938 194 M 984 148 L 984 194 M 1064 194 L 1110 194 M 1064 148 L 1110 148 M 1064 148 L 1064 194 M 1110 148 L 1110 194 M 1189 194 L 1236 194 M 1189 148 L 1236 148 M 1189 148 L 1189 194 M 1236 148 L 1236 194 M 1315 194 L 1362 194 M 1315 148 L 1362 148 M 1315 148 L 1315 194 M 1362 148 L 1362 194 M 1441 194 L 1488 194 M 1441 148 L 1488 148 M 1441 148 L 1441 194 M 1488 148 L 1488 194 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<g clip-path="url(#clip11)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(0%,37.647063%,100%);fill-opacity:0.944882;stroke:none;" mask="url(#mask4)"/>
+</g>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,0%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 448 822 L 495 822 M 448 775 L 495 775 M 448 775 L 448 822 M 495 775 L 495 822 M 448 729 L 495 729 M 448 682 L 495 682 M 448 682 L 448 729 M 495 682 L 495 729 M 448 635 L 495 635 M 448 588 L 495 588 M 448 588 L 448 635 M 495 588 L 495 635 M 567 829 L 614 829 M 567 782 L 614 782 M 567 782 L 567 829 M 614 782 L 614 829 M 567 736 L 614 736 M 567 689 L 614 689 M 567 689 L 567 736 M 614 689 L 614 736 M 716 822 L 763 822 M 716 775 L 763 775 M 716 775 L 716 822 M 763 775 L 763 822 M 716 640 L 763 640 M 716 594 L 763 594 M 716 594 L 716 640 M 763 594 L 763 640 M 1194 803 L 1240 803 M 1194 756 L 1240 756 M 1194 756 L 1194 803 M 1240 756 L 1240 803 M 1194 670 L 1240 670 M 1194 624 L 1240 624 M 1194 624 L 1194 670 M 1240 624 L 1240 670 M 1308 836 L 1355 836 M 1308 789 L 1355 789 M 1308 789 L 1308 836 M 1355 789 L 1355 836 M 1308 743 L 1355 743 M 1308 696 L 1355 696 M 1308 696 L 1308 743 M 1355 696 L 1355 743 M 1426 804 L 1473 804 M 1426 758 L 1473 758 M 1426 758 L 1426 804 M 1473 758 L 1473 804 M 1426 711 L 1473 711 M 1426 665 L 1473 665 M 1426 665 L 1426 711 M 1473 665 L 1473 711 M 592 511 L 638 511 M 592 465 L 638 465 M 592 465 L 592 511 M 638 465 L 638 511 M 790 511 L 837 511 M 790 465 L 837 465 M 790 465 L 790 511 M 837 465 L 837 511 M 934 511 L 980 511 M 934 465 L 980 465 M 934 465 L 934 511 M 980 465 L 980 511 M 1081 511 L 1128 511 M 1081 465 L 1128 465 M 1081 465 L 1081 511 M 1128 465 L 1128 511 M 1232 511 L 1278 511 M 1232 465 L 1278 465 M 1232 465 L 1232 511 M 1278 465 L 1278 511 M 1386 511 L 1433 511 M 1386 465 L 1433 465 M 1386 465 L 1386 511 M 1433 465 L 1433 511 M 454 397 L 500 397 M 454 350 L 500 350 M 454 350 L 454 397 M 500 350 L 500 397 M 454 304 L 500 304 M 454 257 L 500 257 M 454 257 L 454 304 M 500 257 L 500 304 M 590 293 L 637 293 M 590 246 L 637 246 M 590 246 L 590 293 M 637 246 L 637 293 M 685 293 L 731 293 M 685 246 L 731 246 M 685 246 L 685 293 M 731 246 L 731 293 M 850 394 L 897 394 M 850 347 L 897 347 M 850 347 L 850 394 M 897 347 L 897 394 M 850 301 L 897 301 M 850 254 L 897 254 M 850 254 L 850 301 M 897 254 L 897 301 M 987 304 L 1034 304 M 987 257 L 1034 257 M 987 257 L 987 304 M 1034 257 L 1034 304 M 1116 331 L 1162 331 M 1116 284 L 1162 284 M 1116 284 L 1116 331 M 1162 284 L 1162 331 M 1423 324 L 1470 324 M 1423 278 L 1470 278 M 1423 278 L 1423 324 M 1470 278 L 1470 324 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,0%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 448 775 L 495 822 M 448 822 L 495 775 M 448 682 L 495 729 M 448 729 L 495 682 M 448 588 L 495 635 M 448 635 L 495 588 M 567 782 L 614 829 M 567 829 L 614 782 M 567 689 L 614 736 M 567 736 L 614 689 M 716 775 L 763 822 M 716 822 L 763 775 M 716 594 L 763 640 M 716 640 L 763 594 M 1194 756 L 1240 803 M 1194 803 L 1240 756 M 1194 624 L 1240 670 M 1194 670 L 1240 624 M 1308 789 L 1355 836 M 1308 836 L 1355 789 M 1308 696 L 1355 743 M 1308 743 L 1355 696 M 1426 758 L 1473 804 M 1426 804 L 1473 758 M 1426 665 L 1473 711 M 1426 711 L 1473 665 M 592 465 L 638 511 M 592 511 L 638 465 M 790 465 L 837 511 M 790 511 L 837 465 M 934 465 L 980 511 M 934 511 L 980 465 M 1081 465 L 1128 511 M 1081 511 L 1128 465 M 1232 465 L 1278 511 M 1232 511 L 1278 465 M 1386 465 L 1433 511 M 1386 511 L 1433 465 M 454 350 L 500 397 M 454 397 L 500 350 M 454 257 L 500 304 M 454 304 L 500 257 M 590 246 L 637 293 M 590 293 L 637 246 M 685 246 L 731 293 M 685 293 L 731 246 M 850 347 L 897 394 M 850 394 L 897 347 M 850 254 L 897 301 M 850 301 L 897 254 M 987 257 L 1034 304 M 987 304 L 1034 257 M 1116 284 L 1162 331 M 1116 331 L 1162 284 M 1423 278 L 1470 324 M 1423 324 L 1470 278 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 431.191406 181.5 L 426.425781 181.5 L 413.929688 146.738281 L 418.699219 146.738281 L 428.902344 176.160156 L 438.535156 146.738281 L 443.257812 146.738281 Z M 431.191406 181.5 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 473.679688 156.941406 L 473.585938 158.46875 L 473.347656 159.851562 L 472.917969 161.136719 L 472.394531 162.28125 L 471.628906 163.332031 L 470.773438 164.285156 L 469.820312 165.097656 L 468.816406 165.714844 L 467.71875 166.191406 L 466.527344 166.527344 L 465.289062 166.71875 L 463.953125 166.765625 L 453.03125 166.765625 L 453.03125 181.5 L 448.597656 181.5 L 448.597656 146.738281 L 462.953125 146.738281 L 465.003906 146.878906 L 466.863281 147.214844 L 468.484375 147.785156 L 469.914062 148.597656 L 471.105469 149.597656 L 472.105469 150.839844 L 472.585938 151.742188 L 472.964844 152.648438 L 473.25 153.605469 L 473.488281 154.652344 L 473.632812 155.796875 Z M 453.03125 162.855469 L 462.285156 162.855469 L 463.570312 162.808594 L 464.714844 162.570312 L 465.765625 162.234375 L 466.671875 161.710938 L 467.433594 161.042969 L 468.101562 160.230469 L 468.339844 159.753906 L 468.578125 159.183594 L 468.769531 158.660156 L 468.914062 158.039062 L 469.007812 157.417969 L 469.054688 156.75 L 468.960938 155.605469 L 468.722656 154.558594 L 468.339844 153.652344 L 467.816406 152.839844 L 467.101562 152.171875 L 466.289062 151.601562 L 465.71875 151.363281 L 465.097656 151.125 L 464.429688 150.933594 L 463.761719 150.789062 L 463.046875 150.695312 L 462.285156 150.648438 L 453.03125 150.648438 Z M 453.03125 162.855469 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 505.726562 171.582031 L 505.628906 173.105469 L 505.390625 174.488281 L 504.960938 175.777344 L 504.390625 176.921875 L 503.628906 178.019531 L 502.722656 178.972656 L 501.71875 179.785156 L 500.671875 180.402344 L 499.480469 180.878906 L 498.238281 181.261719 L 496.902344 181.453125 L 495.472656 181.5 L 479.785156 181.5 L 479.785156 146.738281 L 493.898438 146.738281 L 495.949219 146.878906 L 497.761719 147.214844 L 499.382812 147.785156 L 500.765625 148.597656 L 501.957031 149.644531 L 502.914062 150.933594 L 503.292969 151.648438 L 503.628906 152.410156 L 503.867188 153.125 L 504.058594 153.9375 L 504.152344 154.75 L 504.199219 155.558594 L 504.058594 157.277344 L 503.628906 158.753906 L 502.960938 160.136719 L 502.007812 161.28125 L 500.8125 162.332031 L 499.382812 163.140625 L 500.765625 163.761719 L 501.957031 164.429688 L 503.007812 165.191406 L 503.867188 166.050781 L 504.535156 166.957031 L 505.058594 167.910156 L 505.25 168.480469 L 505.390625 169.054688 L 505.535156 169.625 L 505.628906 170.292969 L 505.679688 170.914062 Z M 499.765625 156.179688 L 499.621094 154.75 L 499.191406 153.554688 L 498.523438 152.507812 L 497.570312 151.742188 L 496.378906 151.171875 L 494.902344 150.789062 L 494.566406 150.789062 L 494.234375 150.742188 L 493.898438 150.695312 L 493.136719 150.695312 L 492.804688 150.648438 L 484.21875 150.648438 L 484.21875 161.710938 L 492.804688 161.710938 L 494.566406 161.613281 L 496.09375 161.328125 L 497.378906 160.804688 L 498.335938 160.089844 L 499.097656 159.136719 L 499.574219 157.992188 L 499.621094 157.753906 L 499.667969 157.464844 L 499.71875 157.179688 L 499.71875 156.511719 Z M 495.042969 177.589844 L 496.378906 177.542969 L 497.570312 177.257812 L 498.621094 176.777344 L 499.480469 176.160156 L 500.195312 175.347656 L 500.765625 174.347656 L 500.910156 173.964844 L 501.003906 173.535156 L 501.148438 173.058594 L 501.195312 172.628906 L 501.242188 172.152344 L 501.292969 171.628906 L 501.195312 170.578125 L 501.003906 169.578125 L 500.671875 168.71875 L 500.195312 167.910156 L 499.574219 167.242188 L 498.859375 166.621094 L 498.335938 166.335938 L 497.761719 166.097656 L 497.140625 165.90625 L 496.476562 165.765625 L 495.757812 165.667969 L 495.042969 165.621094 L 484.21875 165.621094 L 484.21875 177.589844 Z M 495.042969 177.589844 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 434 938 L 481 938 M 434 892 L 481 892 M 434 892 L 434 938 M 481 892 L 481 938 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 434 938 L 481 938 M 434 892 L 481 892 M 481 938 L 481 892 M 434 938 L 434 892 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 411.191406 925.402344 L 406.425781 925.402344 L 393.929688 890.640625 L 398.699219 890.640625 L 408.902344 920.0625 L 418.535156 890.640625 L 423.257812 890.640625 Z M 411.191406 925.402344 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 430.792969 908.140625 L 430.839844 909.761719 L 430.984375 911.289062 L 431.269531 912.71875 L 431.648438 914.148438 L 432.175781 915.484375 L 432.84375 916.722656 L 433.988281 918.488281 L 435.371094 919.917969 L 436.941406 921.0625 L 438.707031 921.875 L 440.710938 922.398438 L 442.902344 922.589844 L 443.238281 922.589844 L 444.714844 922.542969 L 446.148438 922.304688 L 447.433594 921.875 L 448.675781 921.351562 L 449.820312 920.632812 L 450.914062 919.730469 L 451.820312 918.773438 L 452.585938 917.726562 L 453.203125 916.535156 L 453.632812 915.292969 L 453.917969 913.957031 L 454.109375 912.527344 L 454.109375 912.050781 L 454.15625 911.90625 L 454.15625 910.953125 L 443.570312 910.953125 L 443.570312 907.042969 L 458.066406 907.042969 L 458.066406 925.59375 L 455.253906 925.59375 L 454.15625 920.96875 L 452.632812 922.496094 L 451.011719 923.78125 L 449.339844 924.832031 L 447.578125 925.546875 L 445.765625 926.070312 L 443.90625 926.40625 L 443.332031 926.40625 L 443.09375 926.453125 L 442.523438 926.453125 L 442.285156 926.5 L 440.28125 926.355469 L 438.375 926.023438 L 436.5625 925.5 L 434.894531 924.734375 L 433.320312 923.734375 L 431.839844 922.496094 L 430.503906 921.160156 L 429.359375 919.632812 L 428.40625 918.011719 L 427.644531 916.199219 L 427.023438 914.246094 L 426.644531 912.148438 L 426.546875 911.574219 L 426.453125 910.953125 L 426.40625 910.335938 L 426.355469 909.667969 L 426.355469 908.378906 L 426.40625 906.613281 L 426.59375 904.898438 L 426.882812 903.230469 L 427.359375 901.609375 L 427.882812 900.035156 L 428.597656 898.460938 L 429.789062 896.457031 L 431.171875 894.695312 L 432.84375 893.214844 L 434.652344 892.023438 L 436.703125 891.117188 L 438.992188 890.449219 L 439.613281 890.355469 L 440.28125 890.257812 L 440.949219 890.210938 L 441.617188 890.117188 L 442.332031 890.117188 L 443.046875 890.066406 L 445.335938 890.210938 L 447.480469 890.542969 L 449.4375 891.117188 L 451.203125 891.929688 L 452.773438 892.976562 L 454.207031 894.214844 L 455.015625 895.171875 L 455.730469 896.21875 L 456.351562 897.363281 L 456.875 898.554688 L 457.257812 899.84375 L 457.589844 901.179688 L 453.0625 901.179688 L 452.679688 899.9375 L 452.203125 898.792969 L 451.535156 897.746094 L 450.773438 896.839844 L 449.820312 896.027344 L 448.769531 895.3125 L 447.910156 894.933594 L 447.003906 894.597656 L 446.050781 894.3125 L 445.097656 894.167969 L 444.046875 894.027344 L 443 893.976562 L 441.234375 894.121094 L 439.613281 894.40625 L 438.136719 894.882812 L 436.753906 895.597656 L 435.464844 896.503906 L 434.320312 897.601562 L 433.269531 898.9375 L 432.414062 900.414062 L 431.746094 902.039062 L 431.222656 903.800781 L 430.886719 905.707031 L 430.792969 907.757812 Z M 430.792969 908.140625 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 492.117188 890.640625 L 492.117188 925.402344 L 487.109375 925.402344 L 469.132812 897.222656 L 469.132812 925.402344 L 464.933594 925.402344 L 464.933594 890.640625 L 469.75 890.640625 L 487.917969 919.0625 L 487.917969 890.640625 Z M 492.117188 890.640625 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 499.9375 925.402344 L 499.9375 890.640625 L 513.335938 890.640625 L 515.433594 890.785156 L 517.390625 891.164062 L 519.203125 891.832031 L 520.871094 892.691406 L 522.347656 893.882812 L 523.730469 895.265625 L 524.875 896.933594 L 525.828125 898.746094 L 526.542969 900.796875 L 527.070312 902.992188 L 527.355469 905.421875 L 527.5 907.996094 L 527.355469 910.714844 L 527.023438 913.242188 L 526.449219 915.53125 L 525.6875 917.628906 L 524.683594 919.488281 L 523.445312 921.113281 L 522.109375 922.445312 L 520.632812 923.496094 L 519.011719 924.355469 L 517.246094 924.925781 L 515.339844 925.308594 L 513.335938 925.402344 Z M 504.371094 921.492188 L 512.574219 921.492188 L 514.765625 921.351562 L 516.722656 920.824219 L 518.4375 919.917969 L 519.867188 918.726562 L 521.011719 917.105469 L 521.96875 915.152344 L 522.253906 914.148438 L 522.539062 913.101562 L 522.777344 911.957031 L 522.921875 910.714844 L 523.015625 909.429688 L 523.0625 908.046875 L 522.875 904.945312 L 522.394531 902.226562 L 521.539062 899.890625 L 520.394531 898.03125 L 518.914062 896.503906 L 517.101562 895.410156 L 516.433594 895.171875 L 515.71875 894.933594 L 514.195312 894.644531 L 513.382812 894.597656 L 512.574219 894.550781 L 504.371094 894.550781 Z M 504.371094 921.492188 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 434 194 L 481 194 M 434 148 L 481 148 M 434 148 L 434 194 M 481 148 L 481 194 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 434 194 L 481 194 M 434 148 L 481 148 M 481 194 L 481 148 M 434 194 L 434 148 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 407.191406 181.5 L 402.425781 181.5 L 389.929688 146.738281 L 394.699219 146.738281 L 404.902344 176.160156 L 414.535156 146.738281 L 419.257812 146.738281 Z M 407.191406 181.5 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 449.679688 156.941406 L 449.585938 158.46875 L 449.347656 159.851562 L 448.917969 161.136719 L 448.394531 162.28125 L 447.628906 163.332031 L 446.773438 164.285156 L 445.820312 165.097656 L 444.816406 165.714844 L 443.71875 166.191406 L 442.527344 166.527344 L 441.289062 166.71875 L 439.953125 166.765625 L 429.03125 166.765625 L 429.03125 181.5 L 424.597656 181.5 L 424.597656 146.738281 L 438.953125 146.738281 L 441.003906 146.878906 L 442.863281 147.214844 L 444.484375 147.785156 L 445.914062 148.597656 L 447.105469 149.597656 L 448.105469 150.839844 L 448.585938 151.742188 L 448.964844 152.648438 L 449.25 153.605469 L 449.488281 154.652344 L 449.632812 155.796875 Z M 429.03125 162.855469 L 438.285156 162.855469 L 439.570312 162.808594 L 440.714844 162.570312 L 441.765625 162.234375 L 442.671875 161.710938 L 443.433594 161.042969 L 444.101562 160.230469 L 444.339844 159.753906 L 444.578125 159.183594 L 444.769531 158.660156 L 444.914062 158.039062 L 445.007812 157.417969 L 445.054688 156.75 L 444.960938 155.605469 L 444.722656 154.558594 L 444.339844 153.652344 L 443.816406 152.839844 L 443.101562 152.171875 L 442.289062 151.601562 L 441.71875 151.363281 L 441.097656 151.125 L 440.429688 150.933594 L 439.761719 150.789062 L 439.046875 150.695312 L 438.285156 150.648438 L 429.03125 150.648438 Z M 429.03125 162.855469 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 487.496094 181.5 L 482.632812 181.5 L 474.621094 152.9375 L 466.847656 181.5 L 461.984375 181.5 L 453.066406 146.738281 L 458.027344 146.738281 L 464.558594 174.96875 L 472.285156 146.738281 L 477.050781 146.738281 L 484.96875 174.96875 L 491.359375 146.738281 L 496.316406 146.738281 Z M 487.496094 181.5 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 522.542969 164.332031 L 523.640625 164.953125 L 524.644531 165.621094 L 525.5 166.382812 L 526.167969 167.289062 L 526.644531 168.242188 L 527.027344 169.292969 L 527.121094 169.863281 L 527.21875 170.484375 L 527.265625 171.199219 L 527.3125 172.011719 L 527.3125 173.917969 L 527.265625 174.917969 L 527.3125 175.777344 L 527.359375 176.539062 L 527.457031 177.207031 L 527.550781 177.78125 L 527.742188 178.257812 L 527.886719 178.734375 L 528.125 179.117188 L 528.363281 179.496094 L 528.648438 179.832031 L 528.984375 180.164062 L 529.363281 180.402344 L 529.363281 181.5 L 523.976562 181.5 L 523.6875 180.738281 L 523.449219 179.925781 L 523.261719 178.972656 L 523.117188 178.019531 L 523.023438 176.96875 L 523.023438 172.726562 L 522.925781 171.34375 L 522.6875 170.101562 L 522.304688 169.054688 L 521.734375 168.195312 L 521.019531 167.527344 L 520.160156 167.003906 L 519.730469 166.859375 L 519.300781 166.765625 L 518.824219 166.667969 L 518.347656 166.621094 L 517.300781 166.527344 L 505.855469 166.527344 L 505.855469 181.5 L 501.421875 181.5 L 501.421875 146.738281 L 517.441406 146.738281 L 519.589844 146.878906 L 521.542969 147.214844 L 523.210938 147.832031 L 524.644531 148.644531 L 525.835938 149.695312 L 526.789062 150.980469 L 527.121094 151.742188 L 527.457031 152.507812 L 527.695312 153.316406 L 527.886719 154.175781 L 527.980469 155.082031 L 528.027344 156.035156 L 527.933594 157.324219 L 527.742188 158.515625 L 527.410156 159.613281 L 526.933594 160.613281 L 526.359375 161.519531 L 525.644531 162.28125 L 525.214844 162.664062 L 524.738281 163.046875 L 524.261719 163.378906 L 523.738281 163.714844 L 523.164062 164.046875 Z M 523.402344 156.65625 L 523.261719 155.226562 L 522.972656 153.984375 L 522.402344 152.9375 L 521.6875 152.078125 L 520.683594 151.457031 L 519.539062 150.980469 L 519.113281 150.886719 L 518.632812 150.789062 L 518.15625 150.742188 L 517.632812 150.695312 L 517.109375 150.695312 L 516.585938 150.648438 L 505.855469 150.648438 L 505.855469 162.617188 L 516.585938 162.617188 L 518.15625 162.570312 L 519.539062 162.28125 L 520.683594 161.851562 L 521.640625 161.234375 L 522.402344 160.46875 L 522.925781 159.515625 L 523.070312 159.136719 L 523.164062 158.660156 L 523.261719 158.230469 L 523.308594 157.703125 L 523.355469 157.226562 Z M 523.402344 156.65625 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 434 938 L 481 938 M 434 892 L 481 892 M 434 892 L 434 938 M 481 892 L 481 938 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 434 938 L 481 938 M 434 892 L 481 892 M 481 938 L 481 892 M 434 938 L 434 892 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 930.191406 920.5 L 925.425781 920.5 L 912.929688 885.738281 L 917.699219 885.738281 L 927.902344 915.160156 L 937.535156 885.738281 L 942.257812 885.738281 Z M 930.191406 920.5 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 974.0625 885.738281 L 974.0625 920.5 L 969.058594 920.5 L 951.078125 892.316406 L 951.078125 920.5 L 946.882812 920.5 L 946.882812 885.738281 L 951.699219 885.738281 L 969.867188 914.15625 L 969.867188 885.738281 Z M 974.0625 885.738281 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1007.347656 910.582031 L 1007.253906 912.105469 L 1007.015625 913.488281 L 1006.585938 914.777344 L 1006.011719 915.921875 L 1005.25 917.019531 L 1004.34375 917.972656 L 1003.34375 918.785156 L 1002.292969 919.402344 L 1001.101562 919.878906 L 999.863281 920.261719 L 998.527344 920.453125 L 997.097656 920.5 L 981.40625 920.5 L 981.40625 885.738281 L 995.523438 885.738281 L 997.574219 885.878906 L 999.386719 886.214844 L 1001.007812 886.785156 L 1002.390625 887.597656 L 1003.582031 888.644531 L 1004.535156 889.933594 L 1004.917969 890.648438 L 1005.25 891.410156 L 1005.488281 892.125 L 1005.679688 892.9375 L 1005.773438 893.75 L 1005.824219 894.558594 L 1005.679688 896.277344 L 1005.25 897.753906 L 1004.582031 899.136719 L 1003.628906 900.28125 L 1002.4375 901.332031 L 1001.007812 902.140625 L 1002.390625 902.761719 L 1003.582031 903.429688 L 1004.628906 904.191406 L 1005.488281 905.050781 L 1006.15625 905.957031 L 1006.679688 906.910156 L 1006.871094 907.480469 L 1007.015625 908.054688 L 1007.15625 908.625 L 1007.253906 909.292969 L 1007.300781 909.914062 Z M 1001.386719 895.179688 L 1001.246094 893.75 L 1000.816406 892.554688 L 1000.148438 891.507812 L 999.195312 890.742188 L 998.003906 890.171875 L 996.523438 889.789062 L 996.191406 889.789062 L 995.855469 889.742188 L 995.523438 889.695312 L 994.757812 889.695312 L 994.425781 889.648438 L 985.84375 889.648438 L 985.84375 900.710938 L 994.425781 900.710938 L 996.191406 900.613281 L 997.714844 900.328125 L 999.003906 899.804688 L 999.957031 899.089844 L 1000.71875 898.136719 L 1001.195312 896.992188 L 1001.246094 896.753906 L 1001.292969 896.464844 L 1001.339844 896.179688 L 1001.339844 895.511719 Z M 996.667969 916.589844 L 998.003906 916.542969 L 999.195312 916.257812 L 1000.242188 915.777344 L 1001.101562 915.160156 L 1001.816406 914.347656 L 1002.390625 913.347656 L 1002.53125 912.964844 L 1002.628906 912.535156 L 1002.769531 912.058594 L 1002.820312 911.628906 L 1002.867188 911.152344 L 1002.914062 910.628906 L 1002.820312 909.578125 L 1002.628906 908.578125 L 1002.292969 907.71875 L 1001.816406 906.910156 L 1001.195312 906.242188 L 1000.480469 905.621094 L 999.957031 905.335938 L 999.386719 905.097656 L 998.765625 904.90625 L 998.097656 904.765625 L 997.382812 904.667969 L 996.667969 904.621094 L 985.84375 904.621094 L 985.84375 916.589844 Z M 996.667969 916.589844 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 935 198 L 982 198 M 935 153 L 982 153 M 935 153 L 935 198 M 982 153 L 982 198 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 935 198 L 982 198 M 935 153 L 982 153 M 982 198 L 982 153 M 935 198 L 935 153 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 430.191406 925.5 L 425.425781 925.5 L 412.929688 890.738281 L 417.699219 890.738281 L 427.902344 920.160156 L 437.535156 890.738281 L 442.257812 890.738281 Z M 430.191406 925.5 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 474.0625 890.738281 L 474.0625 925.5 L 469.058594 925.5 L 451.078125 897.316406 L 451.078125 925.5 L 446.882812 925.5 L 446.882812 890.738281 L 451.699219 890.738281 L 469.867188 919.15625 L 469.867188 890.738281 Z M 474.0625 890.738281 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 507.347656 915.582031 L 507.253906 917.105469 L 507.015625 918.488281 L 506.585938 919.777344 L 506.011719 920.921875 L 505.25 922.019531 L 504.34375 922.972656 L 503.34375 923.785156 L 502.292969 924.402344 L 501.101562 924.878906 L 499.863281 925.261719 L 498.527344 925.453125 L 497.097656 925.5 L 481.40625 925.5 L 481.40625 890.738281 L 495.523438 890.738281 L 497.574219 890.878906 L 499.386719 891.214844 L 501.007812 891.785156 L 502.390625 892.597656 L 503.582031 893.644531 L 504.535156 894.933594 L 504.917969 895.648438 L 505.25 896.410156 L 505.488281 897.125 L 505.679688 897.9375 L 505.773438 898.75 L 505.824219 899.558594 L 505.679688 901.277344 L 505.25 902.753906 L 504.582031 904.136719 L 503.628906 905.28125 L 502.4375 906.332031 L 501.007812 907.140625 L 502.390625 907.761719 L 503.582031 908.429688 L 504.628906 909.191406 L 505.488281 910.050781 L 506.15625 910.957031 L 506.679688 911.910156 L 506.871094 912.480469 L 507.015625 913.054688 L 507.15625 913.625 L 507.253906 914.292969 L 507.300781 914.914062 Z M 501.386719 900.179688 L 501.246094 898.75 L 500.816406 897.554688 L 500.148438 896.507812 L 499.195312 895.742188 L 498.003906 895.171875 L 496.523438 894.789062 L 496.191406 894.789062 L 495.855469 894.742188 L 495.523438 894.695312 L 494.757812 894.695312 L 494.425781 894.648438 L 485.84375 894.648438 L 485.84375 905.710938 L 494.425781 905.710938 L 496.191406 905.613281 L 497.714844 905.328125 L 499.003906 904.804688 L 499.957031 904.089844 L 500.71875 903.136719 L 501.195312 901.992188 L 501.246094 901.753906 L 501.292969 901.464844 L 501.339844 901.179688 L 501.339844 900.511719 Z M 496.667969 921.589844 L 498.003906 921.542969 L 499.195312 921.257812 L 500.242188 920.777344 L 501.101562 920.160156 L 501.816406 919.347656 L 502.390625 918.347656 L 502.53125 917.964844 L 502.628906 917.535156 L 502.769531 917.058594 L 502.820312 916.628906 L 502.867188 916.152344 L 502.914062 915.628906 L 502.820312 914.578125 L 502.628906 913.578125 L 502.292969 912.71875 L 501.816406 911.910156 L 501.195312 911.242188 L 500.480469 910.621094 L 499.957031 910.335938 L 499.386719 910.097656 L 498.765625 909.90625 L 498.097656 909.765625 L 497.382812 909.667969 L 496.667969 909.621094 L 485.84375 909.621094 L 485.84375 921.589844 Z M 496.667969 921.589844 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 434 194 L 481 194 M 434 148 L 481 148 M 434 148 L 434 194 M 481 148 L 481 194 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 434 194 L 481 194 M 434 148 L 481 148 M 481 194 L 481 148 M 434 194 L 434 148 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1098.179688 595.578125 L 1098.015625 598.183594 L 1097.609375 600.542969 L 1096.878906 602.738281 L 1095.902344 604.691406 L 1094.597656 606.5625 L 1093.054688 608.1875 L 1091.347656 609.570312 L 1089.554688 610.628906 L 1087.523438 611.441406 L 1085.40625 612.09375 L 1083.128906 612.417969 L 1080.6875 612.5 L 1053.925781 612.5 L 1053.925781 553.199219 L 1078.003906 553.199219 L 1081.503906 553.441406 L 1084.59375 554.011719 L 1087.359375 554.988281 L 1089.71875 556.371094 L 1091.753906 558.160156 L 1093.378906 560.355469 L 1094.03125 561.578125 L 1094.597656 562.878906 L 1095.007812 564.097656 L 1095.332031 565.480469 L 1095.496094 566.863281 L 1095.574219 568.246094 L 1095.332031 571.175781 L 1094.597656 573.699219 L 1093.460938 576.058594 L 1091.832031 578.007812 L 1089.800781 579.796875 L 1087.359375 581.179688 L 1089.71875 582.238281 L 1091.753906 583.378906 L 1093.542969 584.679688 L 1095.007812 586.144531 L 1096.144531 587.6875 L 1097.039062 589.316406 L 1097.367188 590.292969 L 1097.851562 592.246094 L 1098.015625 593.382812 L 1098.097656 594.441406 Z M 1088.011719 569.304688 L 1087.765625 566.863281 L 1087.035156 564.832031 L 1085.894531 563.042969 L 1084.269531 561.738281 L 1082.234375 560.765625 L 1079.714844 560.113281 L 1079.144531 560.113281 L 1078.003906 559.949219 L 1076.703125 559.949219 L 1076.132812 559.867188 L 1061.492188 559.867188 L 1061.492188 578.742188 L 1076.132812 578.742188 L 1079.144531 578.578125 L 1081.746094 578.089844 L 1083.941406 577.195312 L 1085.570312 575.976562 L 1086.871094 574.347656 L 1087.683594 572.394531 L 1087.765625 571.988281 L 1087.929688 571.011719 L 1087.929688 569.875 Z M 1079.957031 605.828125 L 1082.234375 605.75 L 1084.269531 605.261719 L 1086.058594 604.445312 L 1087.523438 603.390625 L 1088.742188 602.007812 L 1089.71875 600.296875 L 1089.960938 599.648438 L 1090.125 598.914062 L 1090.371094 598.101562 L 1090.449219 597.371094 L 1090.53125 596.554688 L 1090.613281 595.660156 L 1090.449219 593.871094 L 1090.125 592.164062 L 1089.554688 590.699219 L 1088.742188 589.316406 L 1087.683594 588.175781 L 1086.464844 587.121094 L 1085.570312 586.632812 L 1084.59375 586.226562 L 1083.535156 585.898438 L 1082.398438 585.65625 L 1081.175781 585.492188 L 1079.957031 585.410156 L 1061.492188 585.410156 L 1061.492188 605.828125 Z M 1079.957031 605.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1122.746094 571.421875 L 1109.972656 571.421875 L 1109.972656 566.296875 L 1112.171875 566.050781 L 1114.121094 565.726562 L 1115.832031 565.320312 L 1117.296875 564.992188 L 1118.515625 564.585938 L 1119.574219 564.097656 L 1120.386719 563.609375 L 1121.117188 563.042969 L 1121.769531 562.390625 L 1122.421875 561.578125 L 1122.988281 560.683594 L 1123.558594 559.625 L 1123.804688 559.054688 L 1124.046875 558.40625 L 1124.292969 557.671875 L 1124.617188 556.777344 L 1124.859375 555.882812 L 1125.1875 554.824219 L 1129.902344 554.824219 L 1129.902344 612.5 L 1122.746094 612.5 Z M 1122.746094 571.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1064 520 L 1110 520 M 1064 473 L 1110 473 M 1064 473 L 1064 520 M 1110 473 L 1110 520 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1064 520 L 1110 520 M 1064 473 L 1110 473 M 1110 520 L 1110 473 M 1064 520 L 1064 473 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 464.304688 303.078125 L 485.292969 333.5 L 475.9375 333.5 L 459.75 308.769531 L 443.480469 333.5 L 434.289062 333.5 L 455.277344 303.078125 L 435.589844 274.199219 L 444.785156 274.199219 L 459.996094 297.464844 L 475.289062 274.199219 L 484.316406 274.199219 Z M 464.304688 303.078125 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 434 799 L 481 799 M 434 752 L 481 752 M 434 752 L 434 799 M 481 752 L 481 799 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 434 799 L 481 799 M 434 752 L 481 752 M 481 799 L 481 752 M 434 799 L 434 752 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 800.738281 612.5 L 800.738281 553.199219 L 823.597656 553.199219 L 827.175781 553.441406 L 830.511719 554.09375 L 833.605469 555.230469 L 836.449219 556.695312 L 838.972656 558.730469 L 841.332031 561.089844 L 843.285156 563.9375 L 844.910156 567.027344 L 846.132812 570.523438 L 847.027344 574.265625 L 847.515625 578.414062 L 847.757812 582.808594 L 847.515625 587.445312 L 846.945312 591.757812 L 845.96875 595.660156 L 844.667969 599.242188 L 842.957031 602.414062 L 840.84375 605.179688 L 838.566406 607.457031 L 836.042969 609.246094 L 833.277344 610.710938 L 830.269531 611.6875 L 827.015625 612.335938 L 823.597656 612.5 Z M 808.304688 605.828125 L 822.296875 605.828125 L 826.039062 605.585938 L 829.375 604.691406 L 832.300781 603.144531 L 834.742188 601.113281 L 836.695312 598.347656 L 838.320312 595.011719 L 838.808594 593.300781 L 839.296875 591.511719 L 839.703125 589.558594 L 839.949219 587.445312 L 840.113281 585.25 L 840.191406 582.890625 L 839.867188 577.601562 L 839.054688 572.964844 L 837.589844 568.980469 L 835.636719 565.808594 L 833.117188 563.203125 L 830.023438 561.332031 L 828.886719 560.925781 L 827.664062 560.519531 L 826.363281 560.277344 L 825.0625 560.03125 L 822.296875 559.867188 L 808.304688 559.867188 Z M 808.304688 605.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 873.21875 571.421875 L 860.449219 571.421875 L 860.449219 566.296875 L 862.644531 566.050781 L 864.597656 565.726562 L 866.304688 565.320312 L 867.769531 564.992188 L 868.988281 564.585938 L 870.046875 564.097656 L 870.859375 563.609375 L 871.59375 563.042969 L 872.242188 562.390625 L 872.894531 561.578125 L 873.464844 560.683594 L 874.03125 559.625 L 874.277344 559.054688 L 874.519531 558.40625 L 874.765625 557.671875 L 875.089844 556.777344 L 875.335938 555.882812 L 875.660156 554.824219 L 880.378906 554.824219 L 880.378906 612.5 L 873.21875 612.5 Z M 873.21875 571.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 812 520 L 858 520 M 812 473 L 858 473 M 812 473 L 812 520 M 858 473 L 858 520 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 812 520 L 858 520 M 812 473 L 858 473 M 858 520 L 858 473 M 812 520 L 812 473 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 464.304688 675.078125 L 485.292969 705.5 L 475.9375 705.5 L 459.75 680.769531 L 443.480469 705.5 L 434.289062 705.5 L 455.277344 675.078125 L 435.589844 646.199219 L 444.785156 646.199219 L 459.996094 669.464844 L 475.289062 646.199219 L 484.316406 646.199219 Z M 464.304688 675.078125 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 434 427 L 481 427 M 434 380 L 481 380 M 434 380 L 434 427 M 481 380 L 481 427 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 434 427 L 481 427 M 434 380 L 481 380 M 481 427 L 481 380 M 434 427 L 434 380 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1098.179688 502.578125 L 1098.015625 505.183594 L 1097.609375 507.542969 L 1096.878906 509.738281 L 1095.902344 511.691406 L 1094.597656 513.5625 L 1093.054688 515.1875 L 1091.347656 516.570312 L 1089.554688 517.628906 L 1087.523438 518.441406 L 1085.40625 519.09375 L 1083.128906 519.417969 L 1080.6875 519.5 L 1053.925781 519.5 L 1053.925781 460.199219 L 1078.003906 460.199219 L 1081.503906 460.441406 L 1084.59375 461.011719 L 1087.359375 461.988281 L 1089.71875 463.371094 L 1091.753906 465.160156 L 1093.378906 467.355469 L 1094.03125 468.578125 L 1094.597656 469.878906 L 1095.007812 471.097656 L 1095.332031 472.480469 L 1095.496094 473.863281 L 1095.574219 475.246094 L 1095.332031 478.175781 L 1094.597656 480.699219 L 1093.460938 483.058594 L 1091.832031 485.007812 L 1089.800781 486.796875 L 1087.359375 488.179688 L 1089.71875 489.238281 L 1091.753906 490.378906 L 1093.542969 491.679688 L 1095.007812 493.144531 L 1096.144531 494.6875 L 1097.039062 496.316406 L 1097.367188 497.292969 L 1097.851562 499.246094 L 1098.015625 500.382812 L 1098.097656 501.441406 Z M 1088.011719 476.304688 L 1087.765625 473.863281 L 1087.035156 471.832031 L 1085.894531 470.042969 L 1084.269531 468.738281 L 1082.234375 467.765625 L 1079.714844 467.113281 L 1079.144531 467.113281 L 1078.003906 466.949219 L 1076.703125 466.949219 L 1076.132812 466.867188 L 1061.492188 466.867188 L 1061.492188 485.742188 L 1076.132812 485.742188 L 1079.144531 485.578125 L 1081.746094 485.089844 L 1083.941406 484.195312 L 1085.570312 482.976562 L 1086.871094 481.347656 L 1087.683594 479.394531 L 1087.765625 478.988281 L 1087.929688 478.011719 L 1087.929688 476.875 Z M 1079.957031 512.828125 L 1082.234375 512.75 L 1084.269531 512.261719 L 1086.058594 511.445312 L 1087.523438 510.390625 L 1088.742188 509.007812 L 1089.71875 507.296875 L 1089.960938 506.648438 L 1090.125 505.914062 L 1090.371094 505.101562 L 1090.449219 504.371094 L 1090.53125 503.554688 L 1090.613281 502.660156 L 1090.449219 500.871094 L 1090.125 499.164062 L 1089.554688 497.699219 L 1088.742188 496.316406 L 1087.683594 495.175781 L 1086.464844 494.121094 L 1085.570312 493.632812 L 1084.59375 493.226562 L 1083.535156 492.898438 L 1082.398438 492.65625 L 1081.175781 492.492188 L 1079.957031 492.410156 L 1061.492188 492.410156 L 1061.492188 512.828125 Z M 1079.957031 512.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1122.746094 478.421875 L 1109.972656 478.421875 L 1109.972656 473.296875 L 1112.171875 473.050781 L 1114.121094 472.726562 L 1115.832031 472.320312 L 1117.296875 471.992188 L 1118.515625 471.585938 L 1119.574219 471.097656 L 1120.386719 470.609375 L 1121.117188 470.042969 L 1121.769531 469.390625 L 1122.421875 468.578125 L 1122.988281 467.683594 L 1123.558594 466.625 L 1123.804688 466.054688 L 1124.046875 465.40625 L 1124.292969 464.671875 L 1124.617188 463.777344 L 1124.859375 462.882812 L 1125.1875 461.824219 L 1129.902344 461.824219 L 1129.902344 519.5 L 1122.746094 519.5 Z M 1122.746094 478.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1064 613 L 1110 613 M 1064 566 L 1110 566 M 1064 566 L 1064 613 M 1110 566 L 1110 613 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1064 613 L 1110 613 M 1064 566 L 1110 566 M 1110 613 L 1110 566 M 1064 613 L 1064 566 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1337.058594 780.683594 L 1314.199219 780.683594 L 1307.9375 798.5 L 1299.882812 798.5 L 1321.03125 739.199219 L 1330.792969 739.199219 L 1351.621094 798.5 L 1343.160156 798.5 Z M 1334.941406 774.339844 L 1325.832031 747.332031 L 1316.070312 774.339844 Z M 1334.941406 774.339844 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1373.746094 757.421875 L 1360.972656 757.421875 L 1360.972656 752.296875 L 1363.171875 752.050781 L 1365.121094 751.726562 L 1366.832031 751.320312 L 1368.296875 750.992188 L 1369.515625 750.585938 L 1370.574219 750.097656 L 1371.386719 749.609375 L 1372.117188 749.042969 L 1372.769531 748.390625 L 1373.421875 747.578125 L 1373.988281 746.683594 L 1374.558594 745.625 L 1374.804688 745.054688 L 1375.046875 744.40625 L 1375.292969 743.671875 L 1375.617188 742.777344 L 1375.859375 741.882812 L 1376.1875 740.824219 L 1380.902344 740.824219 L 1380.902344 798.5 L 1373.746094 798.5 Z M 1373.746094 757.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1315 334 L 1362 334 M 1315 287 L 1362 287 M 1315 287 L 1315 334 M 1362 287 L 1362 334 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1315 334 L 1362 334 M 1315 287 L 1362 287 M 1362 334 L 1362 287 M 1315 334 L 1315 287 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1457.058594 594.683594 L 1434.199219 594.683594 L 1427.9375 612.5 L 1419.882812 612.5 L 1441.03125 553.199219 L 1450.792969 553.199219 L 1471.621094 612.5 L 1463.160156 612.5 Z M 1454.941406 588.339844 L 1445.832031 561.332031 L 1436.070312 588.339844 Z M 1454.941406 588.339844 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1476.742188 574.835938 L 1477.394531 568.734375 L 1479.101562 563.773438 L 1481.789062 559.867188 L 1485.449219 557.101562 L 1490.085938 555.394531 L 1495.777344 554.824219 L 1498.382812 554.988281 L 1500.902344 555.394531 L 1503.261719 556.046875 L 1505.378906 557.023438 L 1507.332031 558.242188 L 1509.203125 559.625 L 1510.664062 561.332031 L 1511.96875 563.121094 L 1512.941406 565.074219 L 1513.675781 567.109375 L 1514.082031 569.386719 L 1514.246094 571.746094 L 1513.917969 575.082031 L 1513.023438 578.171875 L 1511.480469 581.019531 L 1509.363281 583.703125 L 1506.597656 586.226562 L 1503.261719 588.421875 L 1502.042969 589.152344 L 1493.90625 593.546875 L 1491.792969 594.765625 L 1489.921875 595.988281 L 1488.296875 597.207031 L 1486.992188 598.425781 L 1485.855469 599.648438 L 1485.042969 600.867188 L 1484.632812 601.597656 L 1484.308594 602.25 L 1484.066406 603.0625 L 1483.820312 603.796875 L 1483.578125 604.609375 L 1483.496094 605.421875 L 1513.839844 605.421875 L 1513.839844 612.5 L 1475.441406 612.5 L 1475.605469 609.652344 L 1476.011719 607.050781 L 1476.5 604.609375 L 1477.152344 602.496094 L 1477.882812 600.460938 L 1478.777344 598.671875 L 1479.753906 597.125 L 1480.972656 595.578125 L 1482.4375 594.035156 L 1484.066406 592.570312 L 1485.9375 591.105469 L 1488.132812 589.640625 L 1488.621094 589.316406 L 1489.191406 588.992188 L 1489.757812 588.585938 L 1490.328125 588.257812 L 1490.980469 587.933594 L 1491.628906 587.527344 L 1499.113281 583.296875 L 1501.472656 581.75 L 1503.425781 580.042969 L 1504.972656 578.253906 L 1506.027344 576.300781 L 1506.679688 574.1875 L 1506.921875 571.90625 L 1506.761719 570.363281 L 1506.515625 568.816406 L 1505.949219 567.433594 L 1505.296875 566.132812 L 1504.320312 564.992188 L 1503.261719 563.855469 L 1502.125 563.042969 L 1500.984375 562.308594 L 1499.683594 561.820312 L 1498.382812 561.414062 L 1497 561.171875 L 1495.535156 561.089844 L 1492.363281 561.496094 L 1489.679688 562.472656 L 1487.480469 564.179688 L 1485.855469 566.539062 L 1484.714844 569.628906 L 1484.066406 573.292969 L 1483.984375 573.617188 L 1483.984375 574.105469 L 1483.902344 574.347656 L 1483.902344 574.835938 Z M 1476.742188 574.835938 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1441 520 L 1488 520 M 1441 473 L 1488 473 M 1441 473 L 1441 520 M 1488 473 L 1488 520 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1441 520 L 1488 520 M 1441 473 L 1488 473 M 1488 520 L 1488 473 M 1441 520 L 1441 473 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 464.304688 396.078125 L 485.292969 426.5 L 475.9375 426.5 L 459.75 401.769531 L 443.480469 426.5 L 434.289062 426.5 L 455.277344 396.078125 L 435.589844 367.199219 L 444.785156 367.199219 L 459.996094 390.464844 L 475.289062 367.199219 L 484.316406 367.199219 Z M 464.304688 396.078125 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 434 706 L 481 706 M 434 659 L 481 659 M 434 659 L 434 706 M 481 659 L 481 706 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 434 706 L 481 706 M 434 659 L 481 659 M 481 706 L 481 659 M 434 706 L 434 659 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 464.304688 489.078125 L 485.292969 519.5 L 475.9375 519.5 L 459.75 494.769531 L 443.480469 519.5 L 434.289062 519.5 L 455.277344 489.078125 L 435.589844 460.199219 L 444.785156 460.199219 L 459.996094 483.464844 L 475.289062 460.199219 L 484.316406 460.199219 Z M 464.304688 489.078125 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 434 613 L 481 613 M 434 566 L 481 566 M 434 566 L 434 613 M 481 566 L 481 613 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 434 613 L 481 613 M 434 566 L 481 566 M 481 613 L 481 566 M 434 613 L 434 566 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 464.304688 582.078125 L 485.292969 612.5 L 475.9375 612.5 L 459.75 587.769531 L 443.480469 612.5 L 434.289062 612.5 L 455.277344 582.078125 L 435.589844 553.199219 L 444.785156 553.199219 L 459.996094 576.464844 L 475.289062 553.199219 L 484.316406 553.199219 Z M 464.304688 582.078125 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 434 520 L 481 520 M 434 473 L 481 473 M 434 473 L 434 520 M 481 473 L 481 520 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 434 520 L 481 520 M 434 473 L 481 473 M 481 520 L 481 473 M 434 520 L 434 473 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 464.304688 768.078125 L 485.292969 798.5 L 475.9375 798.5 L 459.75 773.769531 L 443.480469 798.5 L 434.289062 798.5 L 455.277344 768.078125 L 435.589844 739.199219 L 444.785156 739.199219 L 459.996094 762.464844 L 475.289062 739.199219 L 484.316406 739.199219 Z M 464.304688 768.078125 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 434 334 L 481 334 M 434 287 L 481 287 M 434 287 L 434 334 M 481 287 L 481 334 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 434 334 L 481 334 M 434 287 L 481 287 M 481 334 L 481 287 M 434 334 L 434 287 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 923.40625 396.667969 L 923.484375 393.414062 L 923.8125 390.242188 L 924.378906 387.234375 L 925.113281 384.304688 L 926.089844 381.539062 L 927.390625 378.855469 L 929.425781 375.355469 L 931.945312 372.347656 L 934.792969 369.90625 L 938.046875 367.953125 L 941.625 366.570312 L 945.695312 365.675781 L 946.425781 365.59375 L 947.238281 365.515625 L 947.972656 365.433594 L 949.597656 365.433594 L 950.492188 365.351562 L 955.699219 365.757812 L 960.253906 366.976562 L 964.160156 368.929688 L 967.414062 371.695312 L 970.015625 375.195312 L 972.050781 379.503906 L 972.292969 380.320312 L 972.539062 381.132812 L 972.78125 382.027344 L 972.945312 382.921875 L 973.105469 383.816406 L 973.351562 384.710938 L 965.625 384.710938 L 964.808594 381.863281 L 963.753906 379.425781 L 962.449219 377.308594 L 960.742188 375.519531 L 958.871094 374.136719 L 956.675781 373.078125 L 955.617188 372.753906 L 954.480469 372.511719 L 953.339844 372.347656 L 952.121094 372.183594 L 950.898438 372.101562 L 949.597656 372.023438 L 946.75 372.265625 L 944.148438 372.835938 L 941.707031 373.730469 L 939.511719 375.113281 L 937.476562 376.820312 L 935.769531 378.855469 L 934.304688 381.214844 L 933.085938 383.816406 L 932.109375 386.664062 L 931.457031 389.753906 L 931.050781 393.089844 L 930.96875 396.589844 L 931.050781 400.410156 L 931.539062 403.828125 L 932.351562 407.082031 L 933.492188 409.929688 L 934.957031 412.613281 L 936.746094 414.890625 L 938.535156 416.761719 L 940.488281 418.226562 L 942.601562 419.367188 L 944.960938 420.179688 L 947.484375 420.667969 L 950.25 420.828125 L 953.175781 420.667969 L 955.863281 420.097656 L 958.300781 419.121094 L 960.335938 417.738281 L 962.125 415.949219 L 963.671875 413.753906 L 964.320312 412.449219 L 964.890625 410.988281 L 965.460938 409.441406 L 965.949219 407.734375 L 966.355469 405.941406 L 966.761719 403.992188 L 974.570312 403.992188 L 973.105469 411.230469 L 970.667969 417.085938 L 967.085938 421.644531 L 962.53125 424.898438 L 956.839844 426.769531 L 950.167969 427.5 L 945.449219 427.175781 L 941.21875 426.199219 L 937.394531 424.734375 L 933.980469 422.539062 L 930.96875 419.691406 L 928.449219 416.191406 L 926.984375 413.671875 L 925.765625 410.90625 L 924.789062 407.976562 L 924.054688 404.886719 L 923.566406 401.632812 L 923.40625 398.214844 Z M 923.40625 396.667969 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 999.21875 384.550781 L 986.449219 384.550781 L 986.449219 379.425781 L 988.644531 379.179688 L 990.597656 378.855469 L 992.304688 378.449219 L 993.769531 378.121094 L 994.988281 377.714844 L 996.046875 377.226562 L 996.859375 376.738281 L 997.59375 376.171875 L 998.242188 375.519531 L 998.894531 374.707031 L 999.464844 373.8125 L 1000.03125 372.753906 L 1000.277344 372.183594 L 1000.519531 371.535156 L 1000.765625 370.800781 L 1001.089844 369.90625 L 1001.335938 369.011719 L 1001.660156 367.953125 L 1006.378906 367.953125 L 1006.378906 425.628906 L 999.21875 425.628906 Z M 999.21875 384.550781 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 938 706 L 984 706 M 938 659 L 984 659 M 938 659 L 938 706 M 984 659 L 984 706 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 938 706 L 984 706 M 938 659 L 984 659 M 984 706 L 984 659 M 938 706 L 938 659 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 800.738281 519.5 L 800.738281 460.199219 L 823.597656 460.199219 L 827.175781 460.441406 L 830.511719 461.09375 L 833.605469 462.230469 L 836.449219 463.695312 L 838.972656 465.730469 L 841.332031 468.089844 L 843.285156 470.9375 L 844.910156 474.027344 L 846.132812 477.523438 L 847.027344 481.265625 L 847.515625 485.414062 L 847.757812 489.808594 L 847.515625 494.445312 L 846.945312 498.757812 L 845.96875 502.660156 L 844.667969 506.242188 L 842.957031 509.414062 L 840.84375 512.179688 L 838.566406 514.457031 L 836.042969 516.246094 L 833.277344 517.710938 L 830.269531 518.6875 L 827.015625 519.335938 L 823.597656 519.5 Z M 808.304688 512.828125 L 822.296875 512.828125 L 826.039062 512.585938 L 829.375 511.691406 L 832.300781 510.144531 L 834.742188 508.113281 L 836.695312 505.347656 L 838.320312 502.011719 L 838.808594 500.300781 L 839.296875 498.511719 L 839.703125 496.558594 L 839.949219 494.445312 L 840.113281 492.25 L 840.191406 489.890625 L 839.867188 484.601562 L 839.054688 479.964844 L 837.589844 475.980469 L 835.636719 472.808594 L 833.117188 470.203125 L 830.023438 468.332031 L 828.886719 467.925781 L 827.664062 467.519531 L 826.363281 467.277344 L 825.0625 467.03125 L 822.296875 466.867188 L 808.304688 466.867188 Z M 808.304688 512.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 873.21875 478.421875 L 860.449219 478.421875 L 860.449219 473.296875 L 862.644531 473.050781 L 864.597656 472.726562 L 866.304688 472.320312 L 867.769531 471.992188 L 868.988281 471.585938 L 870.046875 471.097656 L 870.859375 470.609375 L 871.59375 470.042969 L 872.242188 469.390625 L 872.894531 468.578125 L 873.464844 467.683594 L 874.03125 466.625 L 874.277344 466.054688 L 874.519531 465.40625 L 874.765625 464.671875 L 875.089844 463.777344 L 875.335938 462.882812 L 875.660156 461.824219 L 880.378906 461.824219 L 880.378906 519.5 L 873.21875 519.5 Z M 873.21875 478.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 812 613 L 858 613 M 812 566 L 858 566 M 812 566 L 812 613 M 858 566 L 858 613 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 812 613 L 858 613 M 812 566 L 858 566 M 858 613 L 858 566 M 812 613 L 812 566 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 800.738281 426.5 L 800.738281 367.199219 L 823.597656 367.199219 L 827.175781 367.441406 L 830.511719 368.09375 L 833.605469 369.230469 L 836.449219 370.695312 L 838.972656 372.730469 L 841.332031 375.089844 L 843.285156 377.9375 L 844.910156 381.027344 L 846.132812 384.523438 L 847.027344 388.265625 L 847.515625 392.414062 L 847.757812 396.808594 L 847.515625 401.445312 L 846.945312 405.757812 L 845.96875 409.660156 L 844.667969 413.242188 L 842.957031 416.414062 L 840.84375 419.179688 L 838.566406 421.457031 L 836.042969 423.246094 L 833.277344 424.710938 L 830.269531 425.6875 L 827.015625 426.335938 L 823.597656 426.5 Z M 808.304688 419.828125 L 822.296875 419.828125 L 826.039062 419.585938 L 829.375 418.691406 L 832.300781 417.144531 L 834.742188 415.113281 L 836.695312 412.347656 L 838.320312 409.011719 L 838.808594 407.300781 L 839.296875 405.511719 L 839.703125 403.558594 L 839.949219 401.445312 L 840.113281 399.25 L 840.191406 396.890625 L 839.867188 391.601562 L 839.054688 386.964844 L 837.589844 382.980469 L 835.636719 379.808594 L 833.117188 377.203125 L 830.023438 375.332031 L 828.886719 374.925781 L 827.664062 374.519531 L 826.363281 374.277344 L 825.0625 374.03125 L 822.296875 373.867188 L 808.304688 373.867188 Z M 808.304688 419.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 873.21875 385.421875 L 860.449219 385.421875 L 860.449219 380.296875 L 862.644531 380.050781 L 864.597656 379.726562 L 866.304688 379.320312 L 867.769531 378.992188 L 868.988281 378.585938 L 870.046875 378.097656 L 870.859375 377.609375 L 871.59375 377.042969 L 872.242188 376.390625 L 872.894531 375.578125 L 873.464844 374.683594 L 874.03125 373.625 L 874.277344 373.054688 L 874.519531 372.40625 L 874.765625 371.671875 L 875.089844 370.777344 L 875.335938 369.882812 L 875.660156 368.824219 L 880.378906 368.824219 L 880.378906 426.5 L 873.21875 426.5 Z M 873.21875 385.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 812 706 L 858 706 M 812 659 L 858 659 M 812 659 L 812 706 M 858 659 L 858 706 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 812 706 L 858 706 M 812 659 L 858 659 M 858 706 L 858 659 M 812 706 L 812 659 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 800.738281 333.5 L 800.738281 274.199219 L 823.597656 274.199219 L 827.175781 274.441406 L 830.511719 275.09375 L 833.605469 276.230469 L 836.449219 277.695312 L 838.972656 279.730469 L 841.332031 282.089844 L 843.285156 284.9375 L 844.910156 288.027344 L 846.132812 291.523438 L 847.027344 295.265625 L 847.515625 299.414062 L 847.757812 303.808594 L 847.515625 308.445312 L 846.945312 312.757812 L 845.96875 316.660156 L 844.667969 320.242188 L 842.957031 323.414062 L 840.84375 326.179688 L 838.566406 328.457031 L 836.042969 330.246094 L 833.277344 331.710938 L 830.269531 332.6875 L 827.015625 333.335938 L 823.597656 333.5 Z M 808.304688 326.828125 L 822.296875 326.828125 L 826.039062 326.585938 L 829.375 325.691406 L 832.300781 324.144531 L 834.742188 322.113281 L 836.695312 319.347656 L 838.320312 316.011719 L 838.808594 314.300781 L 839.296875 312.511719 L 839.703125 310.558594 L 839.949219 308.445312 L 840.113281 306.25 L 840.191406 303.890625 L 839.867188 298.601562 L 839.054688 293.964844 L 837.589844 289.980469 L 835.636719 286.808594 L 833.117188 284.203125 L 830.023438 282.332031 L 828.886719 281.925781 L 827.664062 281.519531 L 826.363281 281.277344 L 825.0625 281.03125 L 822.296875 280.867188 L 808.304688 280.867188 Z M 808.304688 326.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 873.21875 292.421875 L 860.449219 292.421875 L 860.449219 287.296875 L 862.644531 287.050781 L 864.597656 286.726562 L 866.304688 286.320312 L 867.769531 285.992188 L 868.988281 285.585938 L 870.046875 285.097656 L 870.859375 284.609375 L 871.59375 284.042969 L 872.242188 283.390625 L 872.894531 282.578125 L 873.464844 281.683594 L 874.03125 280.625 L 874.277344 280.054688 L 874.519531 279.40625 L 874.765625 278.671875 L 875.089844 277.777344 L 875.335938 276.882812 L 875.660156 275.824219 L 880.378906 275.824219 L 880.378906 333.5 L 873.21875 333.5 Z M 873.21875 292.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 812 799 L 858 799 M 812 752 L 858 752 M 812 752 L 812 799 M 858 752 L 858 799 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 812 799 L 858 799 M 812 752 L 858 752 M 858 799 L 858 752 M 812 799 L 812 752 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 923.40625 489.667969 L 923.484375 486.414062 L 923.8125 483.242188 L 924.378906 480.234375 L 925.113281 477.304688 L 926.089844 474.539062 L 927.390625 471.855469 L 929.425781 468.355469 L 931.945312 465.347656 L 934.792969 462.90625 L 938.046875 460.953125 L 941.625 459.570312 L 945.695312 458.675781 L 946.425781 458.59375 L 947.238281 458.515625 L 947.972656 458.433594 L 949.597656 458.433594 L 950.492188 458.351562 L 955.699219 458.757812 L 960.253906 459.976562 L 964.160156 461.929688 L 967.414062 464.695312 L 970.015625 468.195312 L 972.050781 472.503906 L 972.292969 473.320312 L 972.539062 474.132812 L 972.78125 475.027344 L 972.945312 475.921875 L 973.105469 476.816406 L 973.351562 477.710938 L 965.625 477.710938 L 964.808594 474.863281 L 963.753906 472.425781 L 962.449219 470.308594 L 960.742188 468.519531 L 958.871094 467.136719 L 956.675781 466.078125 L 955.617188 465.753906 L 954.480469 465.511719 L 953.339844 465.347656 L 952.121094 465.183594 L 950.898438 465.101562 L 949.597656 465.023438 L 946.75 465.265625 L 944.148438 465.835938 L 941.707031 466.730469 L 939.511719 468.113281 L 937.476562 469.820312 L 935.769531 471.855469 L 934.304688 474.214844 L 933.085938 476.816406 L 932.109375 479.664062 L 931.457031 482.753906 L 931.050781 486.089844 L 930.96875 489.589844 L 931.050781 493.410156 L 931.539062 496.828125 L 932.351562 500.082031 L 933.492188 502.929688 L 934.957031 505.613281 L 936.746094 507.890625 L 938.535156 509.761719 L 940.488281 511.226562 L 942.601562 512.367188 L 944.960938 513.179688 L 947.484375 513.667969 L 950.25 513.828125 L 953.175781 513.667969 L 955.863281 513.097656 L 958.300781 512.121094 L 960.335938 510.738281 L 962.125 508.949219 L 963.671875 506.753906 L 964.320312 505.449219 L 964.890625 503.988281 L 965.460938 502.441406 L 965.949219 500.734375 L 966.355469 498.941406 L 966.761719 496.992188 L 974.570312 496.992188 L 973.105469 504.230469 L 970.667969 510.085938 L 967.085938 514.644531 L 962.53125 517.898438 L 956.839844 519.769531 L 950.167969 520.5 L 945.449219 520.175781 L 941.21875 519.199219 L 937.394531 517.734375 L 933.980469 515.539062 L 930.96875 512.691406 L 928.449219 509.191406 L 926.984375 506.671875 L 925.765625 503.90625 L 924.789062 500.976562 L 924.054688 497.886719 L 923.566406 494.632812 L 923.40625 491.214844 Z M 923.40625 489.667969 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 999.21875 477.550781 L 986.449219 477.550781 L 986.449219 472.425781 L 988.644531 472.179688 L 990.597656 471.855469 L 992.304688 471.449219 L 993.769531 471.121094 L 994.988281 470.714844 L 996.046875 470.226562 L 996.859375 469.738281 L 997.59375 469.171875 L 998.242188 468.519531 L 998.894531 467.707031 L 999.464844 466.8125 L 1000.03125 465.753906 L 1000.277344 465.183594 L 1000.519531 464.535156 L 1000.765625 463.800781 L 1001.089844 462.90625 L 1001.335938 462.011719 L 1001.660156 460.953125 L 1006.378906 460.953125 L 1006.378906 518.628906 L 999.21875 518.628906 Z M 999.21875 477.550781 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 938 613 L 984 613 M 938 566 L 984 566 M 938 566 L 938 613 M 984 566 L 984 613 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 938 613 L 984 613 M 938 566 L 984 566 M 984 613 L 984 566 M 938 613 L 938 566 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 923.40625 582.667969 L 923.484375 579.414062 L 923.8125 576.242188 L 924.378906 573.234375 L 925.113281 570.304688 L 926.089844 567.539062 L 927.390625 564.855469 L 929.425781 561.355469 L 931.945312 558.347656 L 934.792969 555.90625 L 938.046875 553.953125 L 941.625 552.570312 L 945.695312 551.675781 L 946.425781 551.59375 L 947.238281 551.515625 L 947.972656 551.433594 L 949.597656 551.433594 L 950.492188 551.351562 L 955.699219 551.757812 L 960.253906 552.976562 L 964.160156 554.929688 L 967.414062 557.695312 L 970.015625 561.195312 L 972.050781 565.503906 L 972.292969 566.320312 L 972.539062 567.132812 L 972.78125 568.027344 L 972.945312 568.921875 L 973.105469 569.816406 L 973.351562 570.710938 L 965.625 570.710938 L 964.808594 567.863281 L 963.753906 565.425781 L 962.449219 563.308594 L 960.742188 561.519531 L 958.871094 560.136719 L 956.675781 559.078125 L 955.617188 558.753906 L 954.480469 558.511719 L 953.339844 558.347656 L 952.121094 558.183594 L 950.898438 558.101562 L 949.597656 558.023438 L 946.75 558.265625 L 944.148438 558.835938 L 941.707031 559.730469 L 939.511719 561.113281 L 937.476562 562.820312 L 935.769531 564.855469 L 934.304688 567.214844 L 933.085938 569.816406 L 932.109375 572.664062 L 931.457031 575.753906 L 931.050781 579.089844 L 930.96875 582.589844 L 931.050781 586.410156 L 931.539062 589.828125 L 932.351562 593.082031 L 933.492188 595.929688 L 934.957031 598.613281 L 936.746094 600.890625 L 938.535156 602.761719 L 940.488281 604.226562 L 942.601562 605.367188 L 944.960938 606.179688 L 947.484375 606.667969 L 950.25 606.828125 L 953.175781 606.667969 L 955.863281 606.097656 L 958.300781 605.121094 L 960.335938 603.738281 L 962.125 601.949219 L 963.671875 599.753906 L 964.320312 598.449219 L 964.890625 596.988281 L 965.460938 595.441406 L 965.949219 593.734375 L 966.355469 591.941406 L 966.761719 589.992188 L 974.570312 589.992188 L 973.105469 597.230469 L 970.667969 603.085938 L 967.085938 607.644531 L 962.53125 610.898438 L 956.839844 612.769531 L 950.167969 613.5 L 945.449219 613.175781 L 941.21875 612.199219 L 937.394531 610.734375 L 933.980469 608.539062 L 930.96875 605.691406 L 928.449219 602.191406 L 926.984375 599.671875 L 925.765625 596.90625 L 924.789062 593.976562 L 924.054688 590.886719 L 923.566406 587.632812 L 923.40625 584.214844 Z M 923.40625 582.667969 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 999.21875 570.550781 L 986.449219 570.550781 L 986.449219 565.425781 L 988.644531 565.179688 L 990.597656 564.855469 L 992.304688 564.449219 L 993.769531 564.121094 L 994.988281 563.714844 L 996.046875 563.226562 L 996.859375 562.738281 L 997.59375 562.171875 L 998.242188 561.519531 L 998.894531 560.707031 L 999.464844 559.8125 L 1000.03125 558.753906 L 1000.277344 558.183594 L 1000.519531 557.535156 L 1000.765625 556.800781 L 1001.089844 555.90625 L 1001.335938 555.011719 L 1001.660156 553.953125 L 1006.378906 553.953125 L 1006.378906 611.628906 L 999.21875 611.628906 Z M 999.21875 570.550781 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 938 520 L 984 520 M 938 473 L 984 473 M 938 473 L 938 520 M 984 473 L 984 520 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 938 520 L 984 520 M 938 473 L 984 473 M 984 520 L 984 473 M 938 520 L 938 473 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 923.40625 303.667969 L 923.484375 300.414062 L 923.8125 297.242188 L 924.378906 294.234375 L 925.113281 291.304688 L 926.089844 288.539062 L 927.390625 285.855469 L 929.425781 282.355469 L 931.945312 279.347656 L 934.792969 276.90625 L 938.046875 274.953125 L 941.625 273.570312 L 945.695312 272.675781 L 946.425781 272.59375 L 947.238281 272.515625 L 947.972656 272.433594 L 949.597656 272.433594 L 950.492188 272.351562 L 955.699219 272.757812 L 960.253906 273.976562 L 964.160156 275.929688 L 967.414062 278.695312 L 970.015625 282.195312 L 972.050781 286.503906 L 972.292969 287.320312 L 972.539062 288.132812 L 972.78125 289.027344 L 972.945312 289.921875 L 973.105469 290.816406 L 973.351562 291.710938 L 965.625 291.710938 L 964.808594 288.863281 L 963.753906 286.425781 L 962.449219 284.308594 L 960.742188 282.519531 L 958.871094 281.136719 L 956.675781 280.078125 L 955.617188 279.753906 L 954.480469 279.511719 L 953.339844 279.347656 L 952.121094 279.183594 L 950.898438 279.101562 L 949.597656 279.023438 L 946.75 279.265625 L 944.148438 279.835938 L 941.707031 280.730469 L 939.511719 282.113281 L 937.476562 283.820312 L 935.769531 285.855469 L 934.304688 288.214844 L 933.085938 290.816406 L 932.109375 293.664062 L 931.457031 296.753906 L 931.050781 300.089844 L 930.96875 303.589844 L 931.050781 307.410156 L 931.539062 310.828125 L 932.351562 314.082031 L 933.492188 316.929688 L 934.957031 319.613281 L 936.746094 321.890625 L 938.535156 323.761719 L 940.488281 325.226562 L 942.601562 326.367188 L 944.960938 327.179688 L 947.484375 327.667969 L 950.25 327.828125 L 953.175781 327.667969 L 955.863281 327.097656 L 958.300781 326.121094 L 960.335938 324.738281 L 962.125 322.949219 L 963.671875 320.753906 L 964.320312 319.449219 L 964.890625 317.988281 L 965.460938 316.441406 L 965.949219 314.734375 L 966.355469 312.941406 L 966.761719 310.992188 L 974.570312 310.992188 L 973.105469 318.230469 L 970.667969 324.085938 L 967.085938 328.644531 L 962.53125 331.898438 L 956.839844 333.769531 L 950.167969 334.5 L 945.449219 334.175781 L 941.21875 333.199219 L 937.394531 331.734375 L 933.980469 329.539062 L 930.96875 326.691406 L 928.449219 323.191406 L 926.984375 320.671875 L 925.765625 317.90625 L 924.789062 314.976562 L 924.054688 311.886719 L 923.566406 308.632812 L 923.40625 305.214844 Z M 923.40625 303.667969 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 999.21875 291.550781 L 986.449219 291.550781 L 986.449219 286.425781 L 988.644531 286.179688 L 990.597656 285.855469 L 992.304688 285.449219 L 993.769531 285.121094 L 994.988281 284.714844 L 996.046875 284.226562 L 996.859375 283.738281 L 997.59375 283.171875 L 998.242188 282.519531 L 998.894531 281.707031 L 999.464844 280.8125 L 1000.03125 279.753906 L 1000.277344 279.183594 L 1000.519531 278.535156 L 1000.765625 277.800781 L 1001.089844 276.90625 L 1001.335938 276.011719 L 1001.660156 274.953125 L 1006.378906 274.953125 L 1006.378906 332.628906 L 999.21875 332.628906 Z M 999.21875 291.550781 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 938 799 L 984 799 M 938 752 L 984 752 M 938 752 L 938 799 M 984 752 L 984 799 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 938 799 L 984 799 M 938 752 L 984 752 M 984 799 L 984 752 M 938 799 L 938 752 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1098.179688 409.578125 L 1098.015625 412.183594 L 1097.609375 414.542969 L 1096.878906 416.738281 L 1095.902344 418.691406 L 1094.597656 420.5625 L 1093.054688 422.1875 L 1091.347656 423.570312 L 1089.554688 424.628906 L 1087.523438 425.441406 L 1085.40625 426.09375 L 1083.128906 426.417969 L 1080.6875 426.5 L 1053.925781 426.5 L 1053.925781 367.199219 L 1078.003906 367.199219 L 1081.503906 367.441406 L 1084.59375 368.011719 L 1087.359375 368.988281 L 1089.71875 370.371094 L 1091.753906 372.160156 L 1093.378906 374.355469 L 1094.03125 375.578125 L 1094.597656 376.878906 L 1095.007812 378.097656 L 1095.332031 379.480469 L 1095.496094 380.863281 L 1095.574219 382.246094 L 1095.332031 385.175781 L 1094.597656 387.699219 L 1093.460938 390.058594 L 1091.832031 392.007812 L 1089.800781 393.796875 L 1087.359375 395.179688 L 1089.71875 396.238281 L 1091.753906 397.378906 L 1093.542969 398.679688 L 1095.007812 400.144531 L 1096.144531 401.6875 L 1097.039062 403.316406 L 1097.367188 404.292969 L 1097.851562 406.246094 L 1098.015625 407.382812 L 1098.097656 408.441406 Z M 1088.011719 383.304688 L 1087.765625 380.863281 L 1087.035156 378.832031 L 1085.894531 377.042969 L 1084.269531 375.738281 L 1082.234375 374.765625 L 1079.714844 374.113281 L 1079.144531 374.113281 L 1078.003906 373.949219 L 1076.703125 373.949219 L 1076.132812 373.867188 L 1061.492188 373.867188 L 1061.492188 392.742188 L 1076.132812 392.742188 L 1079.144531 392.578125 L 1081.746094 392.089844 L 1083.941406 391.195312 L 1085.570312 389.976562 L 1086.871094 388.347656 L 1087.683594 386.394531 L 1087.765625 385.988281 L 1087.929688 385.011719 L 1087.929688 383.875 Z M 1079.957031 419.828125 L 1082.234375 419.75 L 1084.269531 419.261719 L 1086.058594 418.445312 L 1087.523438 417.390625 L 1088.742188 416.007812 L 1089.71875 414.296875 L 1089.960938 413.648438 L 1090.125 412.914062 L 1090.371094 412.101562 L 1090.449219 411.371094 L 1090.53125 410.554688 L 1090.613281 409.660156 L 1090.449219 407.871094 L 1090.125 406.164062 L 1089.554688 404.699219 L 1088.742188 403.316406 L 1087.683594 402.175781 L 1086.464844 401.121094 L 1085.570312 400.632812 L 1084.59375 400.226562 L 1083.535156 399.898438 L 1082.398438 399.65625 L 1081.175781 399.492188 L 1079.957031 399.410156 L 1061.492188 399.410156 L 1061.492188 419.828125 Z M 1079.957031 419.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1122.746094 385.421875 L 1109.972656 385.421875 L 1109.972656 380.296875 L 1112.171875 380.050781 L 1114.121094 379.726562 L 1115.832031 379.320312 L 1117.296875 378.992188 L 1118.515625 378.585938 L 1119.574219 378.097656 L 1120.386719 377.609375 L 1121.117188 377.042969 L 1121.769531 376.390625 L 1122.421875 375.578125 L 1122.988281 374.683594 L 1123.558594 373.625 L 1123.804688 373.054688 L 1124.046875 372.40625 L 1124.292969 371.671875 L 1124.617188 370.777344 L 1124.859375 369.882812 L 1125.1875 368.824219 L 1129.902344 368.824219 L 1129.902344 426.5 L 1122.746094 426.5 Z M 1122.746094 385.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1064 706 L 1110 706 M 1064 659 L 1110 659 M 1064 659 L 1064 706 M 1110 659 L 1110 706 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1064 706 L 1110 706 M 1064 659 L 1110 659 M 1110 706 L 1110 659 M 1064 706 L 1064 659 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1098.179688 316.578125 L 1098.015625 319.183594 L 1097.609375 321.542969 L 1096.878906 323.738281 L 1095.902344 325.691406 L 1094.597656 327.5625 L 1093.054688 329.1875 L 1091.347656 330.570312 L 1089.554688 331.628906 L 1087.523438 332.441406 L 1085.40625 333.09375 L 1083.128906 333.417969 L 1080.6875 333.5 L 1053.925781 333.5 L 1053.925781 274.199219 L 1078.003906 274.199219 L 1081.503906 274.441406 L 1084.59375 275.011719 L 1087.359375 275.988281 L 1089.71875 277.371094 L 1091.753906 279.160156 L 1093.378906 281.355469 L 1094.03125 282.578125 L 1094.597656 283.878906 L 1095.007812 285.097656 L 1095.332031 286.480469 L 1095.496094 287.863281 L 1095.574219 289.246094 L 1095.332031 292.175781 L 1094.597656 294.699219 L 1093.460938 297.058594 L 1091.832031 299.007812 L 1089.800781 300.796875 L 1087.359375 302.179688 L 1089.71875 303.238281 L 1091.753906 304.378906 L 1093.542969 305.679688 L 1095.007812 307.144531 L 1096.144531 308.6875 L 1097.039062 310.316406 L 1097.367188 311.292969 L 1097.851562 313.246094 L 1098.015625 314.382812 L 1098.097656 315.441406 Z M 1088.011719 290.304688 L 1087.765625 287.863281 L 1087.035156 285.832031 L 1085.894531 284.042969 L 1084.269531 282.738281 L 1082.234375 281.765625 L 1079.714844 281.113281 L 1079.144531 281.113281 L 1078.003906 280.949219 L 1076.703125 280.949219 L 1076.132812 280.867188 L 1061.492188 280.867188 L 1061.492188 299.742188 L 1076.132812 299.742188 L 1079.144531 299.578125 L 1081.746094 299.089844 L 1083.941406 298.195312 L 1085.570312 296.976562 L 1086.871094 295.347656 L 1087.683594 293.394531 L 1087.765625 292.988281 L 1087.929688 292.011719 L 1087.929688 290.875 Z M 1079.957031 326.828125 L 1082.234375 326.75 L 1084.269531 326.261719 L 1086.058594 325.445312 L 1087.523438 324.390625 L 1088.742188 323.007812 L 1089.71875 321.296875 L 1089.960938 320.648438 L 1090.125 319.914062 L 1090.371094 319.101562 L 1090.449219 318.371094 L 1090.53125 317.554688 L 1090.613281 316.660156 L 1090.449219 314.871094 L 1090.125 313.164062 L 1089.554688 311.699219 L 1088.742188 310.316406 L 1087.683594 309.175781 L 1086.464844 308.121094 L 1085.570312 307.632812 L 1084.59375 307.226562 L 1083.535156 306.898438 L 1082.398438 306.65625 L 1081.175781 306.492188 L 1079.957031 306.410156 L 1061.492188 306.410156 L 1061.492188 326.828125 Z M 1079.957031 326.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1122.746094 292.421875 L 1109.972656 292.421875 L 1109.972656 287.296875 L 1112.171875 287.050781 L 1114.121094 286.726562 L 1115.832031 286.320312 L 1117.296875 285.992188 L 1118.515625 285.585938 L 1119.574219 285.097656 L 1120.386719 284.609375 L 1121.117188 284.042969 L 1121.769531 283.390625 L 1122.421875 282.578125 L 1122.988281 281.683594 L 1123.558594 280.625 L 1123.804688 280.054688 L 1124.046875 279.40625 L 1124.292969 278.671875 L 1124.617188 277.777344 L 1124.859375 276.882812 L 1125.1875 275.824219 L 1129.902344 275.824219 L 1129.902344 333.5 L 1122.746094 333.5 Z M 1122.746094 292.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1064 799 L 1110 799 M 1064 752 L 1110 752 M 1064 752 L 1064 799 M 1110 752 L 1110 799 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1064 799 L 1110 799 M 1064 752 L 1110 752 M 1110 799 L 1110 752 M 1064 799 L 1064 752 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1211.058594 594.683594 L 1188.199219 594.683594 L 1181.9375 612.5 L 1173.882812 612.5 L 1195.03125 553.199219 L 1204.792969 553.199219 L 1225.621094 612.5 L 1217.160156 612.5 Z M 1208.941406 588.339844 L 1199.832031 561.332031 L 1190.070312 588.339844 Z M 1208.941406 588.339844 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1247.746094 571.421875 L 1234.972656 571.421875 L 1234.972656 566.296875 L 1237.171875 566.050781 L 1239.121094 565.726562 L 1240.832031 565.320312 L 1242.296875 564.992188 L 1243.515625 564.585938 L 1244.574219 564.097656 L 1245.386719 563.609375 L 1246.117188 563.042969 L 1246.769531 562.390625 L 1247.421875 561.578125 L 1247.988281 560.683594 L 1248.558594 559.625 L 1248.804688 559.054688 L 1249.046875 558.40625 L 1249.292969 557.671875 L 1249.617188 556.777344 L 1249.859375 555.882812 L 1250.1875 554.824219 L 1254.902344 554.824219 L 1254.902344 612.5 L 1247.746094 612.5 Z M 1247.746094 571.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1189 520 L 1236 520 M 1189 473 L 1236 473 M 1189 473 L 1189 520 M 1236 473 L 1236 520 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1189 520 L 1236 520 M 1189 473 L 1236 473 M 1236 520 L 1236 473 M 1189 520 L 1189 473 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(100%,100%,0%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 395 167 L 395 174 M 391 171 L 398 171 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(100%,100%,100%);stroke-opacity:1;stroke-miterlimit:10;" d="M 395 166 L 395 175 M 390 171 L 399 171 M 394 172 L 396 172 M 394 170 L 396 170 M 394 170 L 394 172 M 396 170 L 396 172 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+</g>
+</svg>
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1.v b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.v
new file mode 100644
index 0000000..91d4359
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1.v
@@ -0,0 +1,131 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HD__A2111O_1_V
+`define SKY130_FD_SC_HD__A2111O_1_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog wrapper for a2111o with size of 1 units.
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+`include "sky130_fd_sc_hd__a2111o.v"
+
+`ifdef USE_POWER_PINS
+/*********************************************************/
+
+`celldefine
+module sky130_fd_sc_hd__a2111o_1 (
+    X   ,
+    A1  ,
+    A2  ,
+    B1  ,
+    C1  ,
+    D1  ,
+    VPWR,
+    VGND,
+    VPB ,
+    VNB
+);
+
+    output X   ;
+    input  A1  ;
+    input  A2  ;
+    input  B1  ;
+    input  C1  ;
+    input  D1  ;
+    input  VPWR;
+    input  VGND;
+    input  VPB ;
+    input  VNB ;
+    sky130_fd_sc_hd__a2111o cell (
+        .X(X),
+        .A1(A1),
+        .A2(A2),
+        .B1(B1),
+        .C1(C1),
+        .D1(D1),
+        .VPWR(VPWR),
+        .VGND(VGND),
+        .VPB(VPB),
+        .VNB(VNB)
+    );
+
+endmodule
+`endcelldefine
+
+/*********************************************************/
+`else // If not USE_POWER_PINS
+/*********************************************************/
+
+`celldefine
+module sky130_fd_sc_hd__a2111o_1 (
+    X   ,
+    A1  ,
+    A2  ,
+    B1  ,
+    C1  ,
+    D1  ,
+    VPWR,
+    VGND,
+    VPB ,
+    VNB
+);
+
+    output X   ;
+    input  A1  ;
+    input  A2  ;
+    input  B1  ;
+    input  C1  ;
+    input  D1  ;
+    input  VPWR;
+    input  VGND;
+    input  VPB ;
+    input  VNB ;
+
+    // Voltage supply signals
+    supply1 VPWR;
+    supply0 VGND;
+    supply1 VPB ;
+    supply0 VNB ;
+
+    sky130_fd_sc_hd__a2111o cell (
+        .X(X),
+        .A1(A1),
+        .A2(A2),
+        .B1(B1),
+        .C1(C1),
+        .D1(D1)
+    );
+
+endmodule
+`endcelldefine
+
+/*********************************************************/
+`endif // USE_POWER_PINS
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_1_V
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_100C_1v65.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_100C_1v65.lib.json
new file mode 100644
index 0000000..e1d67d8
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_100C_1v65.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 2.280553,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 2.977862,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 10.8321219,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.8903554,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.7395905,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.880639,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 1.5787329,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.8410537,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 0.8746139,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 2.9777645,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 11.7511343,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.8903552,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.7395722,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.8806388,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 1.5787203,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.8410537,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 0.8746138,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 2.9777263,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 11.3759544,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.8903552,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.7395649,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.8806388,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 1.5787153,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.8410537,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 0.8746137,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 1.061415,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 3.1432905,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.8671986,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.0267356,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.8619165,
+      "when": "A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 1.0161915,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.8354045,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 0.8581102,
+      "when": "A1&A2&B1&C1&!D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.002435,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002364,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0038935,
+          0.0038875,
+          0.0038766,
+          0.003877,
+          0.003881,
+          0.0038929,
+          0.0039232
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0032019,
+          -0.0032073,
+          -0.0032164,
+          -0.0032101,
+          -0.0031926,
+          -0.0031488,
+          -0.0030451
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002506
+  },
+  "pin A2": {
+    "capacitance": 0.002306,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002217,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0033616,
+          0.0033392,
+          0.0032904,
+          0.0032915,
+          0.003297,
+          0.0033128,
+          0.0033517
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0032825,
+          -0.0032859,
+          -0.0032902,
+          -0.003291,
+          -0.0032895,
+          -0.0032828,
+          -0.0032646
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002395
+  },
+  "pin B1": {
+    "capacitance": 0.002455,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002336,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0036401,
+          0.0036399,
+          0.0036408,
+          0.0036387,
+          0.0036353,
+          0.0036286,
+          0.0036145
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0029114,
+          -0.0029523,
+          -0.0030438,
+          -0.0030581,
+          -0.0030882,
+          -0.003155,
+          -0.0033063
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002574
+  },
+  "pin C1": {
+    "capacitance": 0.002402,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002259,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0032378,
+          0.0032344,
+          0.0032279,
+          0.0032282,
+          0.00323,
+          0.0032354,
+          0.0032495
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0025937,
+          -0.0027005,
+          -0.0029441,
+          -0.0029547,
+          -0.0029763,
+          -0.0030235,
+          -0.0031298
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002545
+  },
+  "pin D1": {
+    "capacitance": 0.002378,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002194,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.002104,
+          0.0020997,
+          0.0020915,
+          0.0020975,
+          0.0021128,
+          0.0021494,
+          0.0022352
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.001364,
+          -0.0013669,
+          -0.0013714,
+          -0.001373,
+          -0.0013747,
+          -0.0013763,
+          -0.0013778
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002562
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001363916,
+            0.003720531,
+            0.01014898,
+            0.0276847,
+            0.07551919,
+            0.2060036
+          ],
+          "values": [
+            [
+              0.0146725,
+              0.0133982,
+              0.0105724,
+              0.003217,
+              -0.0192271,
+              -0.0839638,
+              -0.2615672
+            ],
+            [
+              0.0144927,
+              0.0132195,
+              0.0103992,
+              0.0030482,
+              -0.0194011,
+              -0.0841583,
+              -0.2617624
+            ],
+            [
+              0.0142623,
+              0.0129882,
+              0.0101612,
+              0.002784,
+              -0.0196544,
+              -0.0843958,
+              -0.2620234
+            ],
+            [
+              0.0140679,
+              0.0127974,
+              0.0099677,
+              0.0026213,
+              -0.0198474,
+              -0.0845882,
+              -0.262196
+            ],
+            [
+              0.0139245,
+              0.0126092,
+              0.0098101,
+              0.0024301,
+              -0.0200797,
+              -0.0847475,
+              -0.2623311
+            ],
+            [
+              0.0148756,
+              0.0134184,
+              0.009795,
+              0.0025179,
+              -0.0199776,
+              -0.0847069,
+              -0.26232
+            ],
+            [
+              0.0213153,
+              0.0198116,
+              0.0160177,
+              0.0068693,
+              -0.0174527,
+              -0.0824534,
+              -0.2601309
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001363916,
+            0.003720531,
+            0.01014898,
+            0.0276847,
+            0.07551919,
+            0.2060036
+          ],
+          "values": [
+            [
+              0.0133997,
+              0.0147645,
+              0.0184604,
+              0.0278366,
+              0.0521052,
+              0.1171879,
+              0.293736
+            ],
+            [
+              0.0132784,
+              0.0146709,
+              0.0183488,
+              0.0277567,
+              0.0519452,
+              0.1165541,
+              0.2920871
+            ],
+            [
+              0.0131825,
+              0.0145483,
+              0.0182352,
+              0.0275834,
+              0.0517994,
+              0.1170332,
+              0.2931932
+            ],
+            [
+              0.0132793,
+              0.0146279,
+              0.0181765,
+              0.0274366,
+              0.0516498,
+              0.1162796,
+              0.2929255
+            ],
+            [
+              0.0140691,
+              0.015174,
+              0.0185771,
+              0.0276235,
+              0.0516289,
+              0.1161295,
+              0.293399
+            ],
+            [
+              0.0167075,
+              0.0177742,
+              0.0208428,
+              0.029253,
+              0.0529965,
+              0.1171842,
+              0.2929515
+            ],
+            [
+              0.0226842,
+              0.0235988,
+              0.0264614,
+              0.0347809,
+              0.0577895,
+              0.1223402,
+              0.2977697
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001363916,
+            0.003720531,
+            0.01014898,
+            0.0276847,
+            0.07551919,
+            0.2060036
+          ],
+          "values": [
+            [
+              0.0175053,
+              0.0161824,
+              0.0132031,
+              0.0057827,
+              -0.0166259,
+              -0.0812905,
+              -0.2588224
+            ],
+            [
+              0.0174207,
+              0.0161113,
+              0.0131306,
+              0.0056982,
+              -0.0167136,
+              -0.0813693,
+              -0.2589043
+            ],
+            [
+              0.0173521,
+              0.016005,
+              0.0130886,
+              0.0056224,
+              -0.0167931,
+              -0.0814436,
+              -0.2590023
+            ],
+            [
+              0.0172006,
+              0.0158499,
+              0.0129295,
+              0.0055281,
+              -0.016929,
+              -0.0815743,
+              -0.2591057
+            ],
+            [
+              0.017079,
+              0.0157238,
+              0.0127659,
+              0.0053588,
+              -0.0170814,
+              -0.0817078,
+              -0.2592312
+            ],
+            [
+              0.0173852,
+              0.0159567,
+              0.0127949,
+              0.0055022,
+              -0.0169585,
+              -0.0816158,
+              -0.2591886
+            ],
+            [
+              0.0248681,
+              0.02337,
+              0.0195098,
+              0.0100503,
+              -0.0142807,
+              -0.0791591,
+              -0.2567354
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001363916,
+            0.003720531,
+            0.01014898,
+            0.0276847,
+            0.07551919,
+            0.2060036
+          ],
+          "values": [
+            [
+              0.0133951,
+              0.0147845,
+              0.0184587,
+              0.0278721,
+              0.0520636,
+              0.1167392,
+              0.2923536
+            ],
+            [
+              0.0132992,
+              0.0146727,
+              0.0183627,
+              0.0277488,
+              0.0519601,
+              0.1171723,
+              0.2933265
+            ],
+            [
+              0.0131693,
+              0.0145568,
+              0.0182503,
+              0.0276191,
+              0.0518258,
+              0.1164846,
+              0.292001
+            ],
+            [
+              0.0132874,
+              0.0146285,
+              0.0182391,
+              0.0274292,
+              0.0516292,
+              0.1168661,
+              0.291863
+            ],
+            [
+              0.0139671,
+              0.0151078,
+              0.0185315,
+              0.027561,
+              0.0516289,
+              0.1168405,
+              0.2933737
+            ],
+            [
+              0.0163606,
+              0.0174594,
+              0.0204877,
+              0.028922,
+              0.0527203,
+              0.1170772,
+              0.2928293
+            ],
+            [
+              0.0218143,
+              0.0227931,
+              0.0257472,
+              0.0341274,
+              0.0571938,
+              0.1216726,
+              0.2962341
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001363916,
+            0.003720531,
+            0.01014898,
+            0.0276847,
+            0.07551919,
+            0.2060036
+          ],
+          "values": [
+            [
+              0.0125015,
+              0.0112507,
+              0.0086135,
+              0.0012437,
+              -0.0213784,
+              -0.0862139,
+              -0.2637495
+            ],
+            [
+              0.0122955,
+              0.0110792,
+              0.0083915,
+              0.0011213,
+              -0.0215727,
+              -0.0863939,
+              -0.263986
+            ],
+            [
+              0.0121463,
+              0.0108809,
+              0.0082621,
+              0.0008903,
+              -0.0217285,
+              -0.0865586,
+              -0.2641566
+            ],
+            [
+              0.0119984,
+              0.0107685,
+              0.0080988,
+              0.0007266,
+              -0.0218684,
+              -0.0866977,
+              -0.2642936
+            ],
+            [
+              0.0120506,
+              0.0108202,
+              0.0081348,
+              0.0007052,
+              -0.0219664,
+              -0.0867721,
+              -0.2643669
+            ],
+            [
+              0.0144803,
+              0.0130458,
+              0.0094337,
+              0.0009498,
+              -0.0215154,
+              -0.0863595,
+              -0.2639693
+            ],
+            [
+              0.021177,
+              0.0196789,
+              0.0158935,
+              0.0065014,
+              -0.0175638,
+              -0.0825986,
+              -0.2602691
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001363916,
+            0.003720531,
+            0.01014898,
+            0.0276847,
+            0.07551919,
+            0.2060036
+          ],
+          "values": [
+            [
+              0.0114368,
+              0.0127557,
+              0.0162232,
+              0.0252352,
+              0.0490467,
+              0.1140476,
+              0.2884215
+            ],
+            [
+              0.0114238,
+              0.0127427,
+              0.0162042,
+              0.025215,
+              0.0490481,
+              0.1133502,
+              0.2901842
+            ],
+            [
+              0.0115229,
+              0.0128052,
+              0.0162222,
+              0.025179,
+              0.049179,
+              0.1139696,
+              0.2898643
+            ],
+            [
+              0.0115832,
+              0.0128318,
+              0.016129,
+              0.0250368,
+              0.0489423,
+              0.1138175,
+              0.2898925
+            ],
+            [
+              0.0124669,
+              0.0136214,
+              0.0166603,
+              0.0253207,
+              0.0489574,
+              0.1140771,
+              0.2902685
+            ],
+            [
+              0.014553,
+              0.0157292,
+              0.0188279,
+              0.0273125,
+              0.050753,
+              0.1148952,
+              0.2914683
+            ],
+            [
+              0.0206863,
+              0.0216792,
+              0.024652,
+              0.0329654,
+              0.0560465,
+              0.120244,
+              0.2949039
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001363916,
+            0.003720531,
+            0.01014898,
+            0.0276847,
+            0.07551919,
+            0.2060036
+          ],
+          "values": [
+            [
+              0.0107328,
+              0.0095149,
+              0.0068656,
+              -0.0004588,
+              -0.0231079,
+              -0.0879369,
+              -0.2654928
+            ],
+            [
+              0.0105541,
+              0.0093483,
+              0.0066965,
+              -0.0006294,
+              -0.0232883,
+              -0.0881077,
+              -0.2657152
+            ],
+            [
+              0.0104383,
+              0.0092005,
+              0.0065356,
+              -0.0007977,
+              -0.0234493,
+              -0.0882883,
+              -0.2658496
+            ],
+            [
+              0.010253,
+              0.009018,
+              0.0063622,
+              -0.0009803,
+              -0.0236186,
+              -0.0884465,
+              -0.2660188
+            ],
+            [
+              0.0104585,
+              0.0092156,
+              0.0064564,
+              -0.0008953,
+              -0.0236173,
+              -0.0884307,
+              -0.2659979
+            ],
+            [
+              0.0136367,
+              0.0121734,
+              0.0087173,
+              0.0001046,
+              -0.022455,
+              -0.0873146,
+              -0.2648893
+            ],
+            [
+              0.0207836,
+              0.0192321,
+              0.0154024,
+              0.0059875,
+              -0.01831,
+              -0.0832624,
+              -0.2609075
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001363916,
+            0.003720531,
+            0.01014898,
+            0.0276847,
+            0.07551919,
+            0.2060036
+          ],
+          "values": [
+            [
+              0.0102025,
+              0.0114715,
+              0.0148289,
+              0.0237107,
+              0.0476304,
+              0.1117107,
+              0.2871407
+            ],
+            [
+              0.0103015,
+              0.0115564,
+              0.0149232,
+              0.0237974,
+              0.0477181,
+              0.1117323,
+              0.2872615
+            ],
+            [
+              0.0105441,
+              0.0117841,
+              0.0151041,
+              0.0239842,
+              0.0477605,
+              0.1121503,
+              0.2886018
+            ],
+            [
+              0.0105994,
+              0.0118029,
+              0.0150177,
+              0.0238736,
+              0.0476913,
+              0.1125603,
+              0.288832
+            ],
+            [
+              0.0113708,
+              0.0125734,
+              0.0156089,
+              0.0242623,
+              0.0479954,
+              0.1129027,
+              0.287763
+            ],
+            [
+              0.0134432,
+              0.0145349,
+              0.017624,
+              0.0260609,
+              0.049387,
+              0.1136632,
+              0.2888274
+            ],
+            [
+              0.0193595,
+              0.0203128,
+              0.0232508,
+              0.0316401,
+              0.0549786,
+              0.1190622,
+              0.2934796
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001363916,
+            0.003720531,
+            0.01014898,
+            0.0276847,
+            0.07551919,
+            0.2060036
+          ],
+          "values": [
+            [
+              0.0099791,
+              0.008743,
+              0.0060816,
+              -0.0012241,
+              -0.0238769,
+              -0.0887039,
+              -0.2662619
+            ],
+            [
+              0.0097404,
+              0.0085095,
+              0.0058675,
+              -0.0014459,
+              -0.0240975,
+              -0.0889237,
+              -0.266554
+            ],
+            [
+              0.0095894,
+              0.0083825,
+              0.0057404,
+              -0.0015977,
+              -0.0242517,
+              -0.0890844,
+              -0.2666972
+            ],
+            [
+              0.0097216,
+              0.0084796,
+              0.0058477,
+              -0.0014876,
+              -0.0241405,
+              -0.0889694,
+              -0.2665223
+            ],
+            [
+              0.0106551,
+              0.0093632,
+              0.0066537,
+              -0.0007677,
+              -0.0234639,
+              -0.088269,
+              -0.265846
+            ],
+            [
+              0.015154,
+              0.0136821,
+              0.010091,
+              0.0010786,
+              -0.0214214,
+              -0.086275,
+              -0.2638581
+            ],
+            [
+              0.0230871,
+              0.0215299,
+              0.0176224,
+              0.0081786,
+              -0.0161586,
+              -0.0811016,
+              -0.2587714
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001363916,
+            0.003720531,
+            0.01014898,
+            0.0276847,
+            0.07551919,
+            0.2060036
+          ],
+          "values": [
+            [
+              0.0075121,
+              0.0087281,
+              0.0119872,
+              0.0206672,
+              0.044384,
+              0.1084864,
+              0.2856282
+            ],
+            [
+              0.0074872,
+              0.0087086,
+              0.0119589,
+              0.0206454,
+              0.0444084,
+              0.1085204,
+              0.2858286
+            ],
+            [
+              0.007497,
+              0.0086896,
+              0.0119092,
+              0.0205881,
+              0.0442589,
+              0.1085226,
+              0.2842312
+            ],
+            [
+              0.0076135,
+              0.0088147,
+              0.0119912,
+              0.0206804,
+              0.0442891,
+              0.1086043,
+              0.2832881
+            ],
+            [
+              0.0084809,
+              0.0095978,
+              0.0127403,
+              0.0212305,
+              0.0447218,
+              0.1096635,
+              0.2849831
+            ],
+            [
+              0.0107837,
+              0.0119204,
+              0.0149537,
+              0.0235336,
+              0.0465485,
+              0.1105594,
+              0.2876469
+            ],
+            [
+              0.0175568,
+              0.0185026,
+              0.0214026,
+              0.0297798,
+              0.0528265,
+              0.1169942,
+              0.2908756
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.206004,
+    "max_transition": 1.498942,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.2436957,
+              0.2517941,
+              0.2697584,
+              0.3068483,
+              0.3818823,
+              0.5423427,
+              0.9350652
+            ],
+            [
+              0.246267,
+              0.2543045,
+              0.2722485,
+              0.3093063,
+              0.384516,
+              0.5446759,
+              0.9374123
+            ],
+            [
+              0.2546342,
+              0.2626611,
+              0.2805616,
+              0.3175601,
+              0.3927378,
+              0.5530044,
+              0.9454255
+            ],
+            [
+              0.2749325,
+              0.2829694,
+              0.3006157,
+              0.3379228,
+              0.413052,
+              0.5732687,
+              0.9659806
+            ],
+            [
+              0.3157995,
+              0.3237695,
+              0.3418748,
+              0.3791073,
+              0.4543194,
+              0.6144918,
+              1.0073433
+            ],
+            [
+              0.377654,
+              0.3862832,
+              0.4052139,
+              0.4440478,
+              0.5222707,
+              0.6844658,
+              1.0778297
+            ],
+            [
+              0.4854989,
+              0.494968,
+              0.5159119,
+              0.5590852,
+              0.6438607,
+              0.8149035,
+              1.2127214
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0733046,
+              0.0803707,
+              0.0964638,
+              0.1331937,
+              0.2238185,
+              0.4619541,
+              1.1050258
+            ],
+            [
+              0.0767793,
+              0.0838269,
+              0.0998724,
+              0.1365108,
+              0.2271865,
+              0.4651515,
+              1.1081698
+            ],
+            [
+              0.0857168,
+              0.0927166,
+              0.1086074,
+              0.1450684,
+              0.2355441,
+              0.4737726,
+              1.1170893
+            ],
+            [
+              0.1065116,
+              0.1133697,
+              0.1288739,
+              0.1646116,
+              0.254443,
+              0.4916038,
+              1.1334378
+            ],
+            [
+              0.1343973,
+              0.1419005,
+              0.1581143,
+              0.1939725,
+              0.2835763,
+              0.5210634,
+              1.1644572
+            ],
+            [
+              0.1559884,
+              0.1648303,
+              0.1835833,
+              0.2208761,
+              0.3098379,
+              0.5472249,
+              1.1888921
+            ],
+            [
+              0.1438827,
+              0.1543726,
+              0.1771523,
+              0.2210738,
+              0.310326,
+              0.5489276,
+              1.1918733
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0350864,
+              0.0409217,
+              0.0552415,
+              0.0862422,
+              0.1579754,
+              0.3334506,
+              0.8355399
+            ],
+            [
+              0.035066,
+              0.0413104,
+              0.0551194,
+              0.0868863,
+              0.1570139,
+              0.3342998,
+              0.8379151
+            ],
+            [
+              0.0350722,
+              0.0413106,
+              0.0550929,
+              0.0864899,
+              0.1577349,
+              0.3341425,
+              0.8385075
+            ],
+            [
+              0.0350482,
+              0.0412986,
+              0.0549745,
+              0.0868882,
+              0.1574278,
+              0.3341474,
+              0.8380659
+            ],
+            [
+              0.0350779,
+              0.0410984,
+              0.054947,
+              0.0868834,
+              0.1570252,
+              0.3340062,
+              0.8422614
+            ],
+            [
+              0.039315,
+              0.0462634,
+              0.0608154,
+              0.0932033,
+              0.1619803,
+              0.3378322,
+              0.8378134
+            ],
+            [
+              0.048839,
+              0.0560755,
+              0.0712763,
+              0.1063095,
+              0.1792649,
+              0.3520624,
+              0.8415296
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.023659,
+              0.0300998,
+              0.0466225,
+              0.091047,
+              0.2154131,
+              0.5573664,
+              1.491452
+            ],
+            [
+              0.0234858,
+              0.0300035,
+              0.046479,
+              0.0910774,
+              0.2151212,
+              0.5577833,
+              1.4946071
+            ],
+            [
+              0.023184,
+              0.0297006,
+              0.0461565,
+              0.0907185,
+              0.2151428,
+              0.5560716,
+              1.4962485
+            ],
+            [
+              0.0234799,
+              0.0298416,
+              0.0460484,
+              0.0902292,
+              0.21439,
+              0.555766,
+              1.49636
+            ],
+            [
+              0.0277123,
+              0.0335597,
+              0.048962,
+              0.0915877,
+              0.2145683,
+              0.5576401,
+              1.4914162
+            ],
+            [
+              0.034479,
+              0.0417607,
+              0.056949,
+              0.0959126,
+              0.2161418,
+              0.5573532,
+              1.4948484
+            ],
+            [
+              0.045291,
+              0.0535498,
+              0.072366,
+              0.1096904,
+              0.2216205,
+              0.5607574,
+              1.4968651
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.2749244,
+              0.2836529,
+              0.3027835,
+              0.3418237,
+              0.4186889,
+              0.5814361,
+              0.9756403
+            ],
+            [
+              0.2785196,
+              0.2872409,
+              0.306356,
+              0.3454796,
+              0.4223394,
+              0.5850436,
+              0.9792473
+            ],
+            [
+              0.2878002,
+              0.2964549,
+              0.3155504,
+              0.3542808,
+              0.4314501,
+              0.5941908,
+              0.9885734
+            ],
+            [
+              0.3069494,
+              0.3155433,
+              0.3344656,
+              0.373544,
+              0.4509703,
+              0.6134621,
+              1.0077754
+            ],
+            [
+              0.3421259,
+              0.350758,
+              0.3700247,
+              0.408859,
+              0.4861482,
+              0.6488657,
+              1.0430765
+            ],
+            [
+              0.3950093,
+              0.4039133,
+              0.423779,
+              0.4641979,
+              0.5437011,
+              0.7077671,
+              1.1026484
+            ],
+            [
+              0.4888978,
+              0.498755,
+              0.5206718,
+              0.5642505,
+              0.6496652,
+              0.8209616,
+              1.2194956
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.077229,
+              0.0843151,
+              0.1003797,
+              0.1370334,
+              0.2277163,
+              0.4653786,
+              1.1068479
+            ],
+            [
+              0.0809326,
+              0.0879454,
+              0.1039992,
+              0.140612,
+              0.2312814,
+              0.469339,
+              1.112579
+            ],
+            [
+              0.0892911,
+              0.096263,
+              0.1122857,
+              0.1487837,
+              0.2393435,
+              0.4768862,
+              1.118199
+            ],
+            [
+              0.1079781,
+              0.11487,
+              0.1305304,
+              0.1665683,
+              0.2568002,
+              0.4948704,
+              1.1378306
+            ],
+            [
+              0.1361968,
+              0.1435822,
+              0.1600352,
+              0.1958293,
+              0.2853247,
+              0.5233811,
+              1.1668955
+            ],
+            [
+              0.1626667,
+              0.1713477,
+              0.1900661,
+              0.2282251,
+              0.3175791,
+              0.5545726,
+              1.196472
+            ],
+            [
+              0.16379,
+              0.1741936,
+              0.1967765,
+              0.2405545,
+              0.3314381,
+              0.5704007,
+              1.2115774
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0398417,
+              0.0461729,
+              0.0606121,
+              0.0912196,
+              0.162223,
+              0.338736,
+              0.8442848
+            ],
+            [
+              0.0399589,
+              0.0462585,
+              0.0605408,
+              0.091541,
+              0.1623557,
+              0.3386936,
+              0.8442477
+            ],
+            [
+              0.0399117,
+              0.0463684,
+              0.0607141,
+              0.0910196,
+              0.161714,
+              0.3382526,
+              0.8390937
+            ],
+            [
+              0.0399215,
+              0.0461674,
+              0.0600096,
+              0.0924695,
+              0.1623641,
+              0.3381774,
+              0.8441727
+            ],
+            [
+              0.0398296,
+              0.0462105,
+              0.0602175,
+              0.0923393,
+              0.1617351,
+              0.3383025,
+              0.8412473
+            ],
+            [
+              0.0434776,
+              0.0500812,
+              0.0654496,
+              0.0962523,
+              0.1666253,
+              0.3396609,
+              0.8434462
+            ],
+            [
+              0.0518978,
+              0.0593517,
+              0.0752271,
+              0.1072647,
+              0.1801425,
+              0.3543188,
+              0.845601
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0235165,
+              0.0301045,
+              0.0465228,
+              0.0910413,
+              0.2154148,
+              0.5576077,
+              1.4928565
+            ],
+            [
+              0.0235094,
+              0.0299873,
+              0.0464724,
+              0.0910484,
+              0.2149366,
+              0.5574091,
+              1.4961186
+            ],
+            [
+              0.0232476,
+              0.0297733,
+              0.0462983,
+              0.0908232,
+              0.2151957,
+              0.557155,
+              1.4945697
+            ],
+            [
+              0.0233388,
+              0.0297609,
+              0.0460488,
+              0.0904946,
+              0.2144952,
+              0.5576181,
+              1.4939679
+            ],
+            [
+              0.0265223,
+              0.0332369,
+              0.0486941,
+              0.0916797,
+              0.2141997,
+              0.5572747,
+              1.4939604
+            ],
+            [
+              0.0332755,
+              0.0405268,
+              0.0558795,
+              0.0962987,
+              0.2154784,
+              0.556922,
+              1.4937601
+            ],
+            [
+              0.0429785,
+              0.0512846,
+              0.0694313,
+              0.108502,
+              0.2218737,
+              0.5614061,
+              1.4929413
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.1945565,
+              0.2022926,
+              0.2192792,
+              0.254482,
+              0.3261845,
+              0.4818839,
+              0.8727015
+            ],
+            [
+              0.1971673,
+              0.2048886,
+              0.2219403,
+              0.2572269,
+              0.3289897,
+              0.48451,
+              0.8750061
+            ],
+            [
+              0.2057337,
+              0.2132691,
+              0.2303613,
+              0.2657039,
+              0.3372112,
+              0.4929215,
+              0.8839409
+            ],
+            [
+              0.2255483,
+              0.2332038,
+              0.2502346,
+              0.2855539,
+              0.3570591,
+              0.5127221,
+              0.9037147
+            ],
+            [
+              0.2660823,
+              0.2738016,
+              0.290817,
+              0.3262116,
+              0.3979314,
+              0.5535531,
+              0.9438949
+            ],
+            [
+              0.3339376,
+              0.3423487,
+              0.3610689,
+              0.3989398,
+              0.4748401,
+              0.6339451,
+              1.0249736
+            ],
+            [
+              0.4861153,
+              0.4956628,
+              0.5164366,
+              0.5584312,
+              0.6401966,
+              0.8067008,
+              1.20146
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0526213,
+              0.0582903,
+              0.0717692,
+              0.1051331,
+              0.1924174,
+              0.4285314,
+              1.0679045
+            ],
+            [
+              0.0565695,
+              0.0622244,
+              0.0756702,
+              0.1090173,
+              0.1966277,
+              0.4314714,
+              1.0746666
+            ],
+            [
+              0.0662183,
+              0.0717332,
+              0.0849482,
+              0.1181572,
+              0.2055842,
+              0.4417041,
+              1.0805523
+            ],
+            [
+              0.0835024,
+              0.089221,
+              0.1023529,
+              0.135331,
+              0.2225744,
+              0.458088,
+              1.1015451
+            ],
+            [
+              0.100315,
+              0.1069176,
+              0.1212573,
+              0.154276,
+              0.2413461,
+              0.476966,
+              1.1203577
+            ],
+            [
+              0.1030441,
+              0.1112508,
+              0.12865,
+              0.1636071,
+              0.2499412,
+              0.4860933,
+              1.1259262
+            ],
+            [
+              0.0577586,
+              0.0677409,
+              0.0897855,
+              0.1315965,
+              0.2189774,
+              0.454961,
+              1.0965301
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0319026,
+              0.037683,
+              0.0517186,
+              0.0811739,
+              0.1505138,
+              0.3269362,
+              0.8399475
+            ],
+            [
+              0.0320627,
+              0.0378971,
+              0.0512629,
+              0.0818256,
+              0.1505082,
+              0.3274317,
+              0.8351594
+            ],
+            [
+              0.0317018,
+              0.0376709,
+              0.0516207,
+              0.080984,
+              0.150801,
+              0.3274165,
+              0.8381672
+            ],
+            [
+              0.0317715,
+              0.0376546,
+              0.0515249,
+              0.0809992,
+              0.1507496,
+              0.3273456,
+              0.8429802
+            ],
+            [
+              0.0328187,
+              0.0385108,
+              0.0523394,
+              0.0815418,
+              0.1504916,
+              0.3262952,
+              0.8378428
+            ],
+            [
+              0.0389369,
+              0.0449489,
+              0.0590815,
+              0.0900023,
+              0.1579155,
+              0.3322282,
+              0.8371595
+            ],
+            [
+              0.0490507,
+              0.055479,
+              0.0711717,
+              0.1029862,
+              0.1746345,
+              0.3446803,
+              0.839051
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0181086,
+              0.0238741,
+              0.039826,
+              0.0850118,
+              0.2105962,
+              0.5535047,
+              1.4944088
+            ],
+            [
+              0.0179713,
+              0.0237755,
+              0.0397423,
+              0.0849868,
+              0.2106189,
+              0.5546363,
+              1.4940336
+            ],
+            [
+              0.0178028,
+              0.0235905,
+              0.039641,
+              0.084917,
+              0.2104206,
+              0.5545515,
+              1.4942442
+            ],
+            [
+              0.0198225,
+              0.0252756,
+              0.0405748,
+              0.0849061,
+              0.2103602,
+              0.5545518,
+              1.4946354
+            ],
+            [
+              0.024402,
+              0.0299018,
+              0.0439069,
+              0.0863882,
+              0.2102411,
+              0.5547538,
+              1.4949035
+            ],
+            [
+              0.0316155,
+              0.0379921,
+              0.0523136,
+              0.0906208,
+              0.2119605,
+              0.5537112,
+              1.4939161
+            ],
+            [
+              0.0421036,
+              0.0503006,
+              0.0669656,
+              0.1042695,
+              0.2165885,
+              0.5569915,
+              1.4899284
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.1722919,
+              0.1799561,
+              0.1967407,
+              0.2322136,
+              0.3040848,
+              0.4597004,
+              0.8503502
+            ],
+            [
+              0.1741189,
+              0.1819657,
+              0.1986679,
+              0.2341394,
+              0.3060623,
+              0.4615093,
+              0.8521351
+            ],
+            [
+              0.1817095,
+              0.1893722,
+              0.2064625,
+              0.2415798,
+              0.3133896,
+              0.4690284,
+              0.8595465
+            ],
+            [
+              0.2008566,
+              0.2084498,
+              0.2255752,
+              0.2608633,
+              0.3326447,
+              0.4882312,
+              0.8789842
+            ],
+            [
+              0.2456262,
+              0.2533427,
+              0.2705871,
+              0.3059167,
+              0.3777725,
+              0.5334577,
+              0.924145
+            ],
+            [
+              0.3340928,
+              0.3426111,
+              0.3613003,
+              0.3991847,
+              0.4746356,
+              0.6339702,
+              1.0252459
+            ],
+            [
+              0.5127717,
+              0.522345,
+              0.5433231,
+              0.5856984,
+              0.6668706,
+              0.8304857,
+              1.2251858
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0499996,
+              0.0552854,
+              0.0681657,
+              0.1008912,
+              0.187559,
+              0.4226843,
+              1.0635258
+            ],
+            [
+              0.0539665,
+              0.0592406,
+              0.0721008,
+              0.1048224,
+              0.191528,
+              0.4265899,
+              1.0675548
+            ],
+            [
+              0.0631742,
+              0.0684328,
+              0.0811833,
+              0.1136941,
+              0.2006517,
+              0.4358532,
+              1.0790884
+            ],
+            [
+              0.0784634,
+              0.0839987,
+              0.0968979,
+              0.129435,
+              0.2163267,
+              0.4524572,
+              1.0922234
+            ],
+            [
+              0.0914133,
+              0.0980283,
+              0.112015,
+              0.1446799,
+              0.2314422,
+              0.4672189,
+              1.1075985
+            ],
+            [
+              0.0878636,
+              0.0961166,
+              0.1132801,
+              0.1476386,
+              0.2339227,
+              0.4692154,
+              1.110906
+            ],
+            [
+              0.0305892,
+              0.0406315,
+              0.0628996,
+              0.105094,
+              0.1937192,
+              0.4296385,
+              1.0720101
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0320162,
+              0.0378367,
+              0.0509706,
+              0.0816289,
+              0.1499381,
+              0.3258059,
+              0.8360447
+            ],
+            [
+              0.0319291,
+              0.0377522,
+              0.0510028,
+              0.0816894,
+              0.1500443,
+              0.3263329,
+              0.838999
+            ],
+            [
+              0.0319937,
+              0.037795,
+              0.0513517,
+              0.0816613,
+              0.1498412,
+              0.3257508,
+              0.8379082
+            ],
+            [
+              0.0318766,
+              0.0376856,
+              0.0509522,
+              0.0814256,
+              0.1496085,
+              0.3265681,
+              0.8370311
+            ],
+            [
+              0.0339632,
+              0.039815,
+              0.0524389,
+              0.0833052,
+              0.1508435,
+              0.3277306,
+              0.8374387
+            ],
+            [
+              0.0401629,
+              0.0460713,
+              0.0601155,
+              0.0914425,
+              0.1583398,
+              0.332432,
+              0.8369075
+            ],
+            [
+              0.0517519,
+              0.0583954,
+              0.0732686,
+              0.1054084,
+              0.1722563,
+              0.3423372,
+              0.8379812
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0167813,
+              0.0224669,
+              0.0383499,
+              0.0836345,
+              0.2096306,
+              0.5543746,
+              1.4938648
+            ],
+            [
+              0.0167838,
+              0.0224502,
+              0.0383147,
+              0.0836389,
+              0.2095816,
+              0.5544355,
+              1.4935656
+            ],
+            [
+              0.0168058,
+              0.0224501,
+              0.0384066,
+              0.0837012,
+              0.2096955,
+              0.5532672,
+              1.4946919
+            ],
+            [
+              0.0192083,
+              0.0244661,
+              0.0399476,
+              0.0838583,
+              0.2094042,
+              0.5543462,
+              1.49099
+            ],
+            [
+              0.0238878,
+              0.0292392,
+              0.0433485,
+              0.0854733,
+              0.2097347,
+              0.5532056,
+              1.4906317
+            ],
+            [
+              0.031163,
+              0.0380415,
+              0.0520762,
+              0.0899418,
+              0.2107949,
+              0.5532488,
+              1.4931932
+            ],
+            [
+              0.0421514,
+              0.0505529,
+              0.0685191,
+              0.1051418,
+              0.2162907,
+              0.5576047,
+              1.4916596
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.136701,
+              0.1441985,
+              0.1612462,
+              0.1967753,
+              0.2686662,
+              0.4242984,
+              0.8150527
+            ],
+            [
+              0.1373168,
+              0.14492,
+              0.1621258,
+              0.1974498,
+              0.2693378,
+              0.4249607,
+              0.8157942
+            ],
+            [
+              0.1441997,
+              0.1518781,
+              0.1689886,
+              0.2043169,
+              0.276213,
+              0.4316181,
+              0.8224392
+            ],
+            [
+              0.1661511,
+              0.1738095,
+              0.191038,
+              0.2263196,
+              0.2979209,
+              0.4534172,
+              0.844179
+            ],
+            [
+              0.2228067,
+              0.2303208,
+              0.2471437,
+              0.2818714,
+              0.3534265,
+              0.5087528,
+              0.8996939
+            ],
+            [
+              0.3213572,
+              0.3296749,
+              0.3478191,
+              0.3838264,
+              0.4568884,
+              0.6156879,
+              1.0062979
+            ],
+            [
+              0.4990569,
+              0.5085414,
+              0.5291455,
+              0.5698764,
+              0.6463696,
+              0.8063225,
+              1.2002935
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0437227,
+              0.0488056,
+              0.0612317,
+              0.0931252,
+              0.1790722,
+              0.4142119,
+              1.0552099
+            ],
+            [
+              0.0477547,
+              0.0527996,
+              0.0652068,
+              0.0972661,
+              0.1831335,
+              0.4183618,
+              1.0650594
+            ],
+            [
+              0.0566963,
+              0.0617313,
+              0.0740882,
+              0.1060896,
+              0.1922947,
+              0.4274986,
+              1.0768662
+            ],
+            [
+              0.0687071,
+              0.0742614,
+              0.0871631,
+              0.1192201,
+              0.2063688,
+              0.4407143,
+              1.0930545
+            ],
+            [
+              0.0765784,
+              0.0832437,
+              0.0974969,
+              0.1300565,
+              0.2164472,
+              0.4509538,
+              1.0958814
+            ],
+            [
+              0.0654906,
+              0.0740256,
+              0.0918632,
+              0.1275295,
+              0.2132533,
+              0.448497,
+              1.0869793
+            ],
+            [
+              -0.0029308,
+              0.0075005,
+              0.0305107,
+              0.0757345,
+              0.1641026,
+              0.4008407,
+              1.043281
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0316987,
+              0.0375221,
+              0.050927,
+              0.0814923,
+              0.1500637,
+              0.3262341,
+              0.8369648
+            ],
+            [
+              0.0318428,
+              0.0376795,
+              0.0514152,
+              0.0815147,
+              0.1500437,
+              0.3265668,
+              0.8374327
+            ],
+            [
+              0.0319314,
+              0.0376714,
+              0.0510415,
+              0.0814716,
+              0.150461,
+              0.3266738,
+              0.8385529
+            ],
+            [
+              0.0315786,
+              0.0375502,
+              0.0508877,
+              0.0813498,
+              0.1499064,
+              0.3270181,
+              0.8381802
+            ],
+            [
+              0.0326981,
+              0.0382096,
+              0.0515968,
+              0.0812979,
+              0.1500679,
+              0.3273576,
+              0.8312548
+            ],
+            [
+              0.0408306,
+              0.0464852,
+              0.0594417,
+              0.0885366,
+              0.1557696,
+              0.3321103,
+              0.8393522
+            ],
+            [
+              0.0536179,
+              0.0598248,
+              0.0734665,
+              0.1025174,
+              0.164487,
+              0.3378314,
+              0.8400636
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00136392,
+            0.00372053,
+            0.010149,
+            0.0276847,
+            0.0755192,
+            0.206004
+          ],
+          "values": [
+            [
+              0.0159912,
+              0.0216481,
+              0.0376141,
+              0.0830576,
+              0.2091921,
+              0.5535825,
+              1.4967796
+            ],
+            [
+              0.0159895,
+              0.0216768,
+              0.0376773,
+              0.0832001,
+              0.2093602,
+              0.5541367,
+              1.4980139
+            ],
+            [
+              0.0163539,
+              0.0219365,
+              0.0378527,
+              0.0831724,
+              0.2093766,
+              0.5537328,
+              1.495168
+            ],
+            [
+              0.0193765,
+              0.0245553,
+              0.0395431,
+              0.0836157,
+              0.2093319,
+              0.5545833,
+              1.4976745
+            ],
+            [
+              0.0245013,
+              0.0299751,
+              0.0436319,
+              0.0853629,
+              0.2096315,
+              0.5546544,
+              1.4989416
+            ],
+            [
+              0.032653,
+              0.0396306,
+              0.053453,
+              0.0913839,
+              0.2115433,
+              0.5536855,
+              1.4900443
+            ],
+            [
+              0.044698,
+              0.0535565,
+              0.072768,
+              0.1105807,
+              0.2191584,
+              0.5589839,
+              1.4902872
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_100C_1v95.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_100C_1v95.lib.json
new file mode 100644
index 0000000..eca3a85
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_100C_1v95.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 3.78173,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 1.53749,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 1.3012739,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 1.3261842,
+      "when": "A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 5.2498694,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 18.2371487,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 1.3701387,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 2.9324074,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 1.3580679,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 2.6459501,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 1.3082045,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 1.347195,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 5.2496545,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 19.6942196,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 1.3701384,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 2.9323686,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 1.3580678,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 2.645923,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 1.3082045,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 1.3471949,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 5.2495426,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 19.1117557,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 1.3701383,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 2.9323484,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 1.3580677,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 2.645909,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 1.3082044,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 1.3471948,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 1.5857351,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 5.3642104,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 1.3407156,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.5475711,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 1.3342782,
+      "when": "A1&A2&B1&!C1&D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.002533,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002463,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0055956,
+          0.0055914,
+          0.0055861,
+          0.0055849,
+          0.0055866,
+          0.0055951,
+          0.0056193
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0045594,
+          -0.0045652,
+          -0.0045736,
+          -0.0045662,
+          -0.004544,
+          -0.0044877,
+          -0.0043529
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002603
+  },
+  "pin A2": {
+    "capacitance": 0.002395,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002306,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0048595,
+          0.0048294,
+          0.0047648,
+          0.0047666,
+          0.0047754,
+          0.0048002,
+          0.0048622
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0047691,
+          -0.0047679,
+          -0.00476,
+          -0.0047614,
+          -0.0047595,
+          -0.0047501,
+          -0.0047232
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002484
+  },
+  "pin B1": {
+    "capacitance": 0.002541,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002421,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0052612,
+          0.005256,
+          0.0052459,
+          0.0052443,
+          0.0052427,
+          0.0052412,
+          0.0052398
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0041759,
+          -0.0042563,
+          -0.0044373,
+          -0.004459,
+          -0.0045047,
+          -0.0046053,
+          -0.0048327
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002662
+  },
+  "pin C1": {
+    "capacitance": 0.002484,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002332,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0046881,
+          0.0046833,
+          0.0046746,
+          0.0046715,
+          0.0046666,
+          0.0046574,
+          0.0046383
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.003691,
+          -0.0038698,
+          -0.0042773,
+          -0.004294,
+          -0.0043279,
+          -0.0044019,
+          -0.0045681
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002636
+  },
+  "pin D1": {
+    "capacitance": 0.002461,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002263,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0029387,
+          0.0029342,
+          0.0029258,
+          0.0029331,
+          0.0029518,
+          0.0029969,
+          0.0031029
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0018602,
+          -0.0018657,
+          -0.0018752,
+          -0.0018775,
+          -0.0018793,
+          -0.0018802,
+          -0.0018785
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.00266
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001407725,
+            0.003963381,
+            0.0111587,
+            0.03141678,
+            0.08845239,
+            0.2490334
+          ],
+          "values": [
+            [
+              0.0234902,
+              0.0214368,
+              0.0164152,
+              0.0043595,
+              -0.0326791,
+              -0.1409984,
+              -0.4464292
+            ],
+            [
+              0.0231673,
+              0.0210788,
+              0.0161127,
+              0.0040444,
+              -0.0330102,
+              -0.1413286,
+              -0.4467056
+            ],
+            [
+              0.0228714,
+              0.0207434,
+              0.015746,
+              0.0036939,
+              -0.033353,
+              -0.1416917,
+              -0.4470754
+            ],
+            [
+              0.0225745,
+              0.0204947,
+              0.0154899,
+              0.0034233,
+              -0.0336333,
+              -0.1419533,
+              -0.4473771
+            ],
+            [
+              0.0229768,
+              0.0207691,
+              0.015613,
+              0.0033396,
+              -0.0337239,
+              -0.1420536,
+              -0.4475254
+            ],
+            [
+              0.0304392,
+              0.0280631,
+              0.0220777,
+              0.0071268,
+              -0.0306171,
+              -0.1391086,
+              -0.4446272
+            ],
+            [
+              0.0502948,
+              0.0480299,
+              0.0416575,
+              0.0257848,
+              -0.0145229,
+              -0.123775,
+              -0.4296048
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001407725,
+            0.003963381,
+            0.0111587,
+            0.03141678,
+            0.08845239,
+            0.2490334
+          ],
+          "values": [
+            [
+              0.0197094,
+              0.0216463,
+              0.0270628,
+              0.0414891,
+              0.0803594,
+              0.1876024,
+              0.4912288
+            ],
+            [
+              0.019499,
+              0.0214353,
+              0.0268616,
+              0.0412787,
+              0.0800989,
+              0.1872867,
+              0.4907932
+            ],
+            [
+              0.0194212,
+              0.0213397,
+              0.0267497,
+              0.0411141,
+              0.0797669,
+              0.1879078,
+              0.490376
+            ],
+            [
+              0.0201231,
+              0.0219404,
+              0.027095,
+              0.0412285,
+              0.0799079,
+              0.1878901,
+              0.4903425
+            ],
+            [
+              0.0234624,
+              0.0249934,
+              0.0293462,
+              0.0431,
+              0.0811755,
+              0.1883065,
+              0.4920163
+            ],
+            [
+              0.0317389,
+              0.0330669,
+              0.0374599,
+              0.0502997,
+              0.0870519,
+              0.1938211,
+              0.4968675
+            ],
+            [
+              0.0524762,
+              0.053597,
+              0.0573271,
+              0.069491,
+              0.1054695,
+              0.2107898,
+              0.5102488
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001407725,
+            0.003963381,
+            0.0111587,
+            0.03141678,
+            0.08845239,
+            0.2490334
+          ],
+          "values": [
+            [
+              0.0282326,
+              0.0260648,
+              0.0207367,
+              0.0083557,
+              -0.0286609,
+              -0.1369547,
+              -0.4423701
+            ],
+            [
+              0.0280633,
+              0.0258867,
+              0.0205772,
+              0.008197,
+              -0.0288025,
+              -0.1371269,
+              -0.4424778
+            ],
+            [
+              0.0279541,
+              0.02577,
+              0.0204096,
+              0.0081203,
+              -0.028933,
+              -0.1372492,
+              -0.4426743
+            ],
+            [
+              0.0278781,
+              0.025572,
+              0.0202309,
+              0.0079106,
+              -0.0291248,
+              -0.1374379,
+              -0.4428535
+            ],
+            [
+              0.0279906,
+              0.0258241,
+              0.020367,
+              0.0078869,
+              -0.029147,
+              -0.1374852,
+              -0.4429327
+            ],
+            [
+              0.0352851,
+              0.032934,
+              0.0268018,
+              0.0116227,
+              -0.0258473,
+              -0.1343266,
+              -0.4398465
+            ],
+            [
+              0.0566368,
+              0.0541153,
+              0.0476813,
+              0.0317514,
+              -0.0085745,
+              -0.1179074,
+              -0.4237451
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001407725,
+            0.003963381,
+            0.0111587,
+            0.03141678,
+            0.08845239,
+            0.2490334
+          ],
+          "values": [
+            [
+              0.0198019,
+              0.0217084,
+              0.0271684,
+              0.0415864,
+              0.0803468,
+              0.1884429,
+              0.4908881
+            ],
+            [
+              0.0195955,
+              0.021518,
+              0.0269597,
+              0.0413658,
+              0.0802093,
+              0.1875884,
+              0.4889363
+            ],
+            [
+              0.019468,
+              0.0213853,
+              0.0268015,
+              0.0411634,
+              0.0799929,
+              0.1872366,
+              0.4907751
+            ],
+            [
+              0.0199285,
+              0.0217571,
+              0.0270567,
+              0.0412164,
+              0.0799185,
+              0.1879544,
+              0.4907721
+            ],
+            [
+              0.0229709,
+              0.0244927,
+              0.0289524,
+              0.0427529,
+              0.0809582,
+              0.1890159,
+              0.4914568
+            ],
+            [
+              0.03099,
+              0.0323522,
+              0.0367029,
+              0.0494275,
+              0.0867791,
+              0.1934364,
+              0.4962277
+            ],
+            [
+              0.0514732,
+              0.0527319,
+              0.056706,
+              0.0689591,
+              0.1051231,
+              0.210934,
+              0.5106532
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001407725,
+            0.003963381,
+            0.0111587,
+            0.03141678,
+            0.08845239,
+            0.2490334
+          ],
+          "values": [
+            [
+              0.0198855,
+              0.0178184,
+              0.0130806,
+              0.0011269,
+              -0.036105,
+              -0.1444364,
+              -0.4498112
+            ],
+            [
+              0.0195495,
+              0.017524,
+              0.0128073,
+              0.0008538,
+              -0.0363493,
+              -0.1447296,
+              -0.4501207
+            ],
+            [
+              0.0193152,
+              0.0172962,
+              0.0125449,
+              0.0006125,
+              -0.0366147,
+              -0.1450043,
+              -0.4503619
+            ],
+            [
+              0.0191928,
+              0.0171149,
+              0.0123472,
+              0.0004154,
+              -0.0368261,
+              -0.1451742,
+              -0.4505364
+            ],
+            [
+              0.0203825,
+              0.0183065,
+              0.0132946,
+              0.0011471,
+              -0.0362267,
+              -0.1446676,
+              -0.4500642
+            ],
+            [
+              0.0302197,
+              0.0278816,
+              0.0219659,
+              0.0070495,
+              -0.0310218,
+              -0.1395445,
+              -0.4450366
+            ],
+            [
+              0.0498143,
+              0.0473641,
+              0.0412759,
+              0.0255575,
+              -0.0145515,
+              -0.1236468,
+              -0.4294083
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001407725,
+            0.003963381,
+            0.0111587,
+            0.03141678,
+            0.08845239,
+            0.2490334
+          ],
+          "values": [
+            [
+              0.0164662,
+              0.0183421,
+              0.0234728,
+              0.037445,
+              0.0757014,
+              0.1827217,
+              0.4840783
+            ],
+            [
+              0.0164274,
+              0.0182995,
+              0.0234373,
+              0.0373599,
+              0.0757473,
+              0.1836063,
+              0.4838397
+            ],
+            [
+              0.0167097,
+              0.0185172,
+              0.0235714,
+              0.0374419,
+              0.0758222,
+              0.1835942,
+              0.4840624
+            ],
+            [
+              0.0174814,
+              0.0192299,
+              0.0240889,
+              0.0377379,
+              0.0762319,
+              0.1830999,
+              0.4864542
+            ],
+            [
+              0.02075,
+              0.0223058,
+              0.0268535,
+              0.0399612,
+              0.0777844,
+              0.1855377,
+              0.4881966
+            ],
+            [
+              0.0290074,
+              0.0304137,
+              0.0347973,
+              0.047537,
+              0.0845279,
+              0.1913855,
+              0.4918365
+            ],
+            [
+              0.0496702,
+              0.0507021,
+              0.0547789,
+              0.067146,
+              0.1029786,
+              0.2081561,
+              0.5088701
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001407725,
+            0.003963381,
+            0.0111587,
+            0.03141678,
+            0.08845239,
+            0.2490334
+          ],
+          "values": [
+            [
+              0.0172468,
+              0.0151027,
+              0.0105081,
+              -0.0014565,
+              -0.0387024,
+              -0.1470938,
+              -0.45245
+            ],
+            [
+              0.0170264,
+              0.0148947,
+              0.0101388,
+              -0.0017234,
+              -0.038988,
+              -0.1473597,
+              -0.4527071
+            ],
+            [
+              0.0166893,
+              0.0146357,
+              0.0099404,
+              -0.0020108,
+              -0.0392651,
+              -0.1476544,
+              -0.4530042
+            ],
+            [
+              0.016697,
+              0.0146026,
+              0.0098197,
+              -0.0021359,
+              -0.0393804,
+              -0.1477036,
+              -0.4530638
+            ],
+            [
+              0.01896,
+              0.016834,
+              0.0118275,
+              -0.0004128,
+              -0.0377717,
+              -0.1462492,
+              -0.4516457
+            ],
+            [
+              0.0288791,
+              0.0265561,
+              0.0206081,
+              0.0056197,
+              -0.0323253,
+              -0.1408139,
+              -0.4463154
+            ],
+            [
+              0.0473673,
+              0.0448961,
+              0.0385022,
+              0.0228661,
+              -0.0172282,
+              -0.1262725,
+              -0.431999
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001407725,
+            0.003963381,
+            0.0111587,
+            0.03141678,
+            0.08845239,
+            0.2490334
+          ],
+          "values": [
+            [
+              0.0145098,
+              0.016316,
+              0.0213231,
+              0.0351658,
+              0.07341,
+              0.181081,
+              0.4836337
+            ],
+            [
+              0.0147009,
+              0.0164881,
+              0.0214834,
+              0.035305,
+              0.0735121,
+              0.1807978,
+              0.4839993
+            ],
+            [
+              0.0152387,
+              0.0169872,
+              0.0219074,
+              0.0356821,
+              0.07402,
+              0.1818774,
+              0.4839288
+            ],
+            [
+              0.0160356,
+              0.0177149,
+              0.0224901,
+              0.0360589,
+              0.074516,
+              0.1812592,
+              0.4829413
+            ],
+            [
+              0.0190474,
+              0.0206635,
+              0.0251681,
+              0.0382906,
+              0.0762537,
+              0.1831814,
+              0.4864847
+            ],
+            [
+              0.0265246,
+              0.027984,
+              0.0324168,
+              0.0452965,
+              0.0821759,
+              0.1892347,
+              0.491417
+            ],
+            [
+              0.0457961,
+              0.0468951,
+              0.0508164,
+              0.0632107,
+              0.0999702,
+              0.2055636,
+              0.5053631
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001407725,
+            0.003963381,
+            0.0111587,
+            0.03141678,
+            0.08845239,
+            0.2490334
+          ],
+          "values": [
+            [
+              0.0159925,
+              0.013997,
+              0.0093052,
+              -0.0025848,
+              -0.0398382,
+              -0.148216,
+              -0.4536097
+            ],
+            [
+              0.0157702,
+              0.0137133,
+              0.0089873,
+              -0.0028799,
+              -0.0401535,
+              -0.1485092,
+              -0.4538509
+            ],
+            [
+              0.0156707,
+              0.0136473,
+              0.0089678,
+              -0.002966,
+              -0.0401346,
+              -0.1485014,
+              -0.4539106
+            ],
+            [
+              0.0165196,
+              0.0144061,
+              0.0097547,
+              -0.0022301,
+              -0.0394643,
+              -0.1477577,
+              -0.4531029
+            ],
+            [
+              0.0197147,
+              0.0175915,
+              0.0126708,
+              0.0004619,
+              -0.036896,
+              -0.1452954,
+              -0.4505935
+            ],
+            [
+              0.0310783,
+              0.0287066,
+              0.0227071,
+              0.0077527,
+              -0.0301225,
+              -0.1386453,
+              -0.4441353
+            ],
+            [
+              0.0513394,
+              0.0487584,
+              0.0425665,
+              0.0267361,
+              -0.0135326,
+              -0.1225686,
+              -0.4282941
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001407725,
+            0.003963381,
+            0.0111587,
+            0.03141678,
+            0.08845239,
+            0.2490334
+          ],
+          "values": [
+            [
+              0.0104506,
+              0.0122067,
+              0.0170645,
+              0.0305837,
+              0.0687161,
+              0.1756338,
+              0.4775991
+            ],
+            [
+              0.0105118,
+              0.0122526,
+              0.0171135,
+              0.0306232,
+              0.0685164,
+              0.1755721,
+              0.4779151
+            ],
+            [
+              0.0108153,
+              0.012526,
+              0.0173204,
+              0.0308448,
+              0.068931,
+              0.1767304,
+              0.4760553
+            ],
+            [
+              0.0118889,
+              0.0134349,
+              0.0181433,
+              0.0315246,
+              0.0697478,
+              0.1766699,
+              0.4782316
+            ],
+            [
+              0.0149482,
+              0.0165329,
+              0.0211191,
+              0.0339853,
+              0.0718975,
+              0.1784738,
+              0.4801724
+            ],
+            [
+              0.0229864,
+              0.0243814,
+              0.0287449,
+              0.0416639,
+              0.0785155,
+              0.1851169,
+              0.4854764
+            ],
+            [
+              0.0437323,
+              0.0448577,
+              0.0487031,
+              0.0609004,
+              0.0972616,
+              0.2032672,
+              0.5020719
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.249033,
+    "max_transition": 1.498815,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.1806496,
+              0.1872475,
+              0.2026084,
+              0.2361405,
+              0.3073021,
+              0.469395,
+              0.8944816
+            ],
+            [
+              0.1826914,
+              0.1893187,
+              0.2046754,
+              0.2381076,
+              0.3091884,
+              0.4714092,
+              0.895906
+            ],
+            [
+              0.1893222,
+              0.1959448,
+              0.2113544,
+              0.2446498,
+              0.3157971,
+              0.4780804,
+              0.9029812
+            ],
+            [
+              0.2040322,
+              0.2105516,
+              0.2260504,
+              0.2593938,
+              0.3304783,
+              0.4926873,
+              0.9176869
+            ],
+            [
+              0.22812,
+              0.2348436,
+              0.2503293,
+              0.2839111,
+              0.3553988,
+              0.517911,
+              0.9427497
+            ],
+            [
+              0.2741743,
+              0.2813439,
+              0.2979,
+              0.3335289,
+              0.4079747,
+              0.5740137,
+              0.9992701
+            ],
+            [
+              0.412496,
+              0.4206618,
+              0.4393875,
+              0.4792541,
+              0.5619105,
+              0.7359239,
+              1.1640227
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.06184,
+              0.0677965,
+              0.0820057,
+              0.1155992,
+              0.2009888,
+              0.4327767,
+              1.0794668
+            ],
+            [
+              0.0646003,
+              0.070542,
+              0.0847422,
+              0.1182426,
+              0.20366,
+              0.4356233,
+              1.0828118
+            ],
+            [
+              0.0720392,
+              0.0779337,
+              0.0919759,
+              0.1251565,
+              0.2104382,
+              0.4425579,
+              1.0908403
+            ],
+            [
+              0.0876548,
+              0.0935197,
+              0.1070075,
+              0.1401659,
+              0.2246065,
+              0.4567063,
+              1.1056082
+            ],
+            [
+              0.1011158,
+              0.1075981,
+              0.1219253,
+              0.1546106,
+              0.2382489,
+              0.4696354,
+              1.1168267
+            ],
+            [
+              0.09751,
+              0.1045751,
+              0.1211784,
+              0.1550393,
+              0.238239,
+              0.4693125,
+              1.1167512
+            ],
+            [
+              0.0418635,
+              0.0499908,
+              0.0689021,
+              0.1096784,
+              0.1959494,
+              0.429234,
+              1.0782975
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0293866,
+              0.034742,
+              0.04726,
+              0.0761317,
+              0.1461557,
+              0.3331311,
+              0.8817286
+            ],
+            [
+              0.0293729,
+              0.0348369,
+              0.0471693,
+              0.0764619,
+              0.1460166,
+              0.3329973,
+              0.8858233
+            ],
+            [
+              0.0294215,
+              0.0348432,
+              0.0470828,
+              0.0766244,
+              0.1464741,
+              0.3322449,
+              0.8874186
+            ],
+            [
+              0.0290214,
+              0.0348386,
+              0.0469618,
+              0.0765326,
+              0.1458445,
+              0.3330125,
+              0.8828036
+            ],
+            [
+              0.030945,
+              0.0366151,
+              0.0489788,
+              0.0770188,
+              0.147947,
+              0.3326374,
+              0.8856348
+            ],
+            [
+              0.0355484,
+              0.042001,
+              0.0545054,
+              0.0849584,
+              0.1553287,
+              0.3386463,
+              0.888774
+            ],
+            [
+              0.0478793,
+              0.054242,
+              0.0687133,
+              0.1003097,
+              0.1720047,
+              0.3525707,
+              0.8889726
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0195314,
+              0.0255026,
+              0.0406562,
+              0.0818851,
+              0.2003667,
+              0.5371588,
+              1.4931992
+            ],
+            [
+              0.019421,
+              0.0253661,
+              0.0405399,
+              0.0817639,
+              0.2003512,
+              0.5380589,
+              1.4944513
+            ],
+            [
+              0.0191179,
+              0.0250491,
+              0.040147,
+              0.0815033,
+              0.2000342,
+              0.5382788,
+              1.493593
+            ],
+            [
+              0.0201217,
+              0.0259556,
+              0.0407673,
+              0.0813784,
+              0.1998675,
+              0.5380539,
+              1.4900726
+            ],
+            [
+              0.023788,
+              0.029556,
+              0.0437749,
+              0.0828815,
+              0.1997984,
+              0.5368412,
+              1.49201
+            ],
+            [
+              0.0301899,
+              0.0364035,
+              0.0517509,
+              0.0886633,
+              0.2021181,
+              0.5388677,
+              1.4944289
+            ],
+            [
+              0.0426026,
+              0.0486422,
+              0.0655179,
+              0.1040758,
+              0.2115382,
+              0.5449134,
+              1.4955356
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.2047052,
+              0.2118615,
+              0.2284129,
+              0.2636602,
+              0.3371266,
+              0.5017531,
+              0.9275244
+            ],
+            [
+              0.2076657,
+              0.2148899,
+              0.2313952,
+              0.2665914,
+              0.3400718,
+              0.5047013,
+              0.9303847
+            ],
+            [
+              0.2146728,
+              0.2219473,
+              0.238354,
+              0.2736714,
+              0.3470995,
+              0.5117625,
+              0.9376284
+            ],
+            [
+              0.227471,
+              0.2347458,
+              0.2511759,
+              0.2864966,
+              0.3598875,
+              0.5245461,
+              0.950383
+            ],
+            [
+              0.2473399,
+              0.2545937,
+              0.2712297,
+              0.3065211,
+              0.3799192,
+              0.544679,
+              0.9705798
+            ],
+            [
+              0.2882639,
+              0.2958717,
+              0.3133447,
+              0.3504934,
+              0.4266284,
+              0.5940538,
+              1.0205565
+            ],
+            [
+              0.4270548,
+              0.4355078,
+              0.4547693,
+              0.4956177,
+              0.5773157,
+              0.7512699,
+              1.1802805
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0651683,
+              0.0711478,
+              0.0854597,
+              0.118902,
+              0.2044337,
+              0.4366864,
+              1.0855217
+            ],
+            [
+              0.0679488,
+              0.0738856,
+              0.0881084,
+              0.1215778,
+              0.2068432,
+              0.4391222,
+              1.0885843
+            ],
+            [
+              0.0744589,
+              0.0803795,
+              0.094423,
+              0.1277038,
+              0.2129566,
+              0.4447389,
+              1.0915506
+            ],
+            [
+              0.0874078,
+              0.0932742,
+              0.1072065,
+              0.1398019,
+              0.2246462,
+              0.4568405,
+              1.1061323
+            ],
+            [
+              0.1002538,
+              0.1065426,
+              0.1212194,
+              0.1541845,
+              0.2383045,
+              0.4703846,
+              1.1188835
+            ],
+            [
+              0.0961499,
+              0.1032566,
+              0.1200377,
+              0.1550775,
+              0.2394827,
+              0.4709775,
+              1.1192341
+            ],
+            [
+              0.0396628,
+              0.0479087,
+              0.0675809,
+              0.108149,
+              0.1966871,
+              0.4312871,
+              1.0817626
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.033868,
+              0.0399129,
+              0.0533486,
+              0.0815851,
+              0.151503,
+              0.3371131,
+              0.890373
+            ],
+            [
+              0.0338355,
+              0.0394075,
+              0.0534405,
+              0.0815106,
+              0.1515731,
+              0.3373121,
+              0.8876055
+            ],
+            [
+              0.0339058,
+              0.0400689,
+              0.0525364,
+              0.0824276,
+              0.1513594,
+              0.3367648,
+              0.893997
+            ],
+            [
+              0.034106,
+              0.0399263,
+              0.0525189,
+              0.0825018,
+              0.1514391,
+              0.3367794,
+              0.8933621
+            ],
+            [
+              0.0353075,
+              0.0410758,
+              0.0532879,
+              0.0823727,
+              0.1523074,
+              0.3365441,
+              0.886941
+            ],
+            [
+              0.0395721,
+              0.0453016,
+              0.058978,
+              0.0879946,
+              0.1583027,
+              0.3406557,
+              0.8865123
+            ],
+            [
+              0.0505149,
+              0.0571143,
+              0.0714021,
+              0.1034371,
+              0.1728905,
+              0.3520406,
+              0.8914628
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0195054,
+              0.0253898,
+              0.0406487,
+              0.0817748,
+              0.1999355,
+              0.5373865,
+              1.4898313
+            ],
+            [
+              0.0194172,
+              0.0254044,
+              0.0405115,
+              0.0817436,
+              0.2000915,
+              0.5382266,
+              1.4943176
+            ],
+            [
+              0.0191895,
+              0.0251293,
+              0.0402417,
+              0.0814706,
+              0.2002374,
+              0.5374486,
+              1.4939121
+            ],
+            [
+              0.0199698,
+              0.0257929,
+              0.0406458,
+              0.0813174,
+              0.1999976,
+              0.536782,
+              1.4931142
+            ],
+            [
+              0.0230917,
+              0.0291405,
+              0.0437872,
+              0.0831399,
+              0.1998949,
+              0.5380535,
+              1.4916223
+            ],
+            [
+              0.0294095,
+              0.0355799,
+              0.0513101,
+              0.0889976,
+              0.2028211,
+              0.5375304,
+              1.4945029
+            ],
+            [
+              0.0412347,
+              0.0478157,
+              0.0653585,
+              0.104638,
+              0.2135132,
+              0.5475884,
+              1.494281
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.1442281,
+              0.1505615,
+              0.1652463,
+              0.1973193,
+              0.2657212,
+              0.4243443,
+              0.8480989
+            ],
+            [
+              0.1465295,
+              0.1528768,
+              0.1675754,
+              0.1995021,
+              0.2678728,
+              0.4266504,
+              0.8506737
+            ],
+            [
+              0.1532151,
+              0.1594558,
+              0.1739779,
+              0.2062188,
+              0.2745345,
+              0.4333396,
+              0.8571108
+            ],
+            [
+              0.1675469,
+              0.1738755,
+              0.1885518,
+              0.2205367,
+              0.2889274,
+              0.4476472,
+              0.8715979
+            ],
+            [
+              0.1950174,
+              0.2016974,
+              0.2170703,
+              0.2496295,
+              0.3188066,
+              0.4781978,
+              0.9023451
+            ],
+            [
+              0.2685502,
+              0.2755662,
+              0.2916787,
+              0.3263177,
+              0.3986809,
+              0.5610856,
+              0.985061
+            ],
+            [
+              0.4430034,
+              0.4508431,
+              0.468904,
+              0.5071283,
+              0.5859761,
+              0.7541364,
+              1.180235
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0453079,
+              0.0502368,
+              0.0622245,
+              0.092785,
+              0.1756292,
+              0.4062948,
+              1.0527093
+            ],
+            [
+              0.0484957,
+              0.0533945,
+              0.065434,
+              0.0959715,
+              0.178461,
+              0.4083479,
+              1.0581289
+            ],
+            [
+              0.0564062,
+              0.0610773,
+              0.0728514,
+              0.1031798,
+              0.1855684,
+              0.4158465,
+              1.0655221
+            ],
+            [
+              0.0668252,
+              0.0719182,
+              0.083994,
+              0.1137847,
+              0.1963167,
+              0.4271505,
+              1.0730473
+            ],
+            [
+              0.0694744,
+              0.0753754,
+              0.0884966,
+              0.118725,
+              0.2004721,
+              0.4306552,
+              1.0796001
+            ],
+            [
+              0.0462879,
+              0.0530619,
+              0.0688595,
+              0.1011214,
+              0.1829535,
+              0.4133519,
+              1.0601612
+            ],
+            [
+              -0.0470059,
+              -0.0390354,
+              -0.0203538,
+              0.0185347,
+              0.1030535,
+              0.335628,
+              0.9806297
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0268247,
+              0.0320417,
+              0.0438875,
+              0.0720962,
+              0.1404958,
+              0.3285555,
+              0.8887175
+            ],
+            [
+              0.0264515,
+              0.031495,
+              0.0436622,
+              0.0721699,
+              0.1401452,
+              0.3285111,
+              0.8833581
+            ],
+            [
+              0.0265196,
+              0.0320007,
+              0.043565,
+              0.0720731,
+              0.1404878,
+              0.3277896,
+              0.8875018
+            ],
+            [
+              0.0267254,
+              0.0321082,
+              0.0440443,
+              0.0723431,
+              0.1405051,
+              0.3285905,
+              0.8861692
+            ],
+            [
+              0.0296857,
+              0.0355074,
+              0.0469277,
+              0.0749878,
+              0.1428519,
+              0.329509,
+              0.8799353
+            ],
+            [
+              0.0347988,
+              0.0400223,
+              0.0529975,
+              0.0819109,
+              0.1501316,
+              0.3335336,
+              0.8901297
+            ],
+            [
+              0.0451521,
+              0.0517198,
+              0.0650038,
+              0.0955832,
+              0.1639253,
+              0.3428219,
+              0.8867122
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0155245,
+              0.0206088,
+              0.0348019,
+              0.0762757,
+              0.1961967,
+              0.5348476,
+              1.4901181
+            ],
+            [
+              0.0154599,
+              0.0205165,
+              0.0347464,
+              0.0763402,
+              0.1960071,
+              0.5359136,
+              1.4932261
+            ],
+            [
+              0.0153787,
+              0.0205027,
+              0.0346566,
+              0.0761568,
+              0.1958151,
+              0.5361593,
+              1.4937052
+            ],
+            [
+              0.017753,
+              0.0226353,
+              0.0362321,
+              0.0767186,
+              0.1964186,
+              0.5356725,
+              1.4924636
+            ],
+            [
+              0.0217119,
+              0.0269863,
+              0.0398562,
+              0.0781157,
+              0.1963433,
+              0.5361699,
+              1.4924596
+            ],
+            [
+              0.0275056,
+              0.0335411,
+              0.0479942,
+              0.0837048,
+              0.1984567,
+              0.5365466,
+              1.4917989
+            ],
+            [
+              0.0387355,
+              0.0451758,
+              0.0620304,
+              0.0992419,
+              0.207929,
+              0.5426175,
+              1.4925494
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.126645,
+              0.1330081,
+              0.1476849,
+              0.1797529,
+              0.2480963,
+              0.4068564,
+              0.8309298
+            ],
+            [
+              0.1284475,
+              0.1347948,
+              0.1494803,
+              0.1814794,
+              0.2498586,
+              0.4085306,
+              0.8325274
+            ],
+            [
+              0.1344131,
+              0.140776,
+              0.1551851,
+              0.1874189,
+              0.2557475,
+              0.4145748,
+              0.8384956
+            ],
+            [
+              0.1504937,
+              0.1568266,
+              0.1714863,
+              0.2033759,
+              0.2717726,
+              0.4306561,
+              0.8546794
+            ],
+            [
+              0.1913456,
+              0.1979501,
+              0.213191,
+              0.2459385,
+              0.3153106,
+              0.4744533,
+              0.8980131
+            ],
+            [
+              0.2826651,
+              0.2896857,
+              0.3056682,
+              0.3400193,
+              0.411804,
+              0.5739723,
+              0.9985611
+            ],
+            [
+              0.4765892,
+              0.4844076,
+              0.5023443,
+              0.5401984,
+              0.6171781,
+              0.7828673,
+              1.2093032
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0427561,
+              0.0474271,
+              0.0588645,
+              0.0887008,
+              0.1712491,
+              0.4007818,
+              1.0478191
+            ],
+            [
+              0.0460192,
+              0.050633,
+              0.0620843,
+              0.0920232,
+              0.1741876,
+              0.403955,
+              1.0528033
+            ],
+            [
+              0.0534424,
+              0.0580016,
+              0.0693142,
+              0.0990606,
+              0.1812598,
+              0.4119694,
+              1.0573535
+            ],
+            [
+              0.0616482,
+              0.0665496,
+              0.0782366,
+              0.1078808,
+              0.19019,
+              0.4201381,
+              1.0662906
+            ],
+            [
+              0.0606416,
+              0.0664307,
+              0.0792372,
+              0.1091851,
+              0.19104,
+              0.4213887,
+              1.0672122
+            ],
+            [
+              0.0306272,
+              0.0376036,
+              0.0530012,
+              0.0853745,
+              0.1668837,
+              0.396371,
+              1.0439566
+            ],
+            [
+              -0.0762711,
+              -0.0684444,
+              -0.0502836,
+              -0.0111126,
+              0.0733139,
+              0.3056366,
+              0.9542142
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.026764,
+              0.0315989,
+              0.0440447,
+              0.0720907,
+              0.1403718,
+              0.3279476,
+              0.8848542
+            ],
+            [
+              0.026877,
+              0.0314695,
+              0.0435475,
+              0.0720372,
+              0.1404485,
+              0.3286704,
+              0.8865169
+            ],
+            [
+              0.026944,
+              0.0316625,
+              0.0435861,
+              0.0718607,
+              0.1405032,
+              0.3281946,
+              0.8862347
+            ],
+            [
+              0.0269918,
+              0.0320784,
+              0.043988,
+              0.072476,
+              0.1404544,
+              0.3282022,
+              0.8871969
+            ],
+            [
+              0.0303038,
+              0.035513,
+              0.0472768,
+              0.0751366,
+              0.1429508,
+              0.3292399,
+              0.8904191
+            ],
+            [
+              0.0351375,
+              0.0405353,
+              0.0534996,
+              0.081011,
+              0.1493249,
+              0.3330242,
+              0.8830578
+            ],
+            [
+              0.0462887,
+              0.0521778,
+              0.0653813,
+              0.0952458,
+              0.1615709,
+              0.3406207,
+              0.8861776
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0143961,
+              0.0193258,
+              0.0333204,
+              0.0752849,
+              0.195869,
+              0.5356027,
+              1.4931825
+            ],
+            [
+              0.0143413,
+              0.0192661,
+              0.033399,
+              0.0753083,
+              0.1957449,
+              0.5358944,
+              1.4923666
+            ],
+            [
+              0.0146925,
+              0.0195557,
+              0.0335576,
+              0.0752954,
+              0.1957593,
+              0.535253,
+              1.4937447
+            ],
+            [
+              0.0171116,
+              0.021961,
+              0.0351995,
+              0.0757417,
+              0.1956268,
+              0.5350576,
+              1.4931762
+            ],
+            [
+              0.0210818,
+              0.0262637,
+              0.0389378,
+              0.0774537,
+              0.1959862,
+              0.5357141,
+              1.4917663
+            ],
+            [
+              0.0269457,
+              0.0332701,
+              0.0473822,
+              0.0831439,
+              0.1981426,
+              0.5375413,
+              1.4915948
+            ],
+            [
+              0.037941,
+              0.0440185,
+              0.0607323,
+              0.0986057,
+              0.2058871,
+              0.5424826,
+              1.4894801
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0989568,
+              0.1053027,
+              0.1201167,
+              0.1520688,
+              0.2205326,
+              0.3793207,
+              0.8033161
+            ],
+            [
+              0.1000919,
+              0.1064468,
+              0.1211613,
+              0.1531951,
+              0.2216681,
+              0.3804058,
+              0.8047226
+            ],
+            [
+              0.1080108,
+              0.1143408,
+              0.1290246,
+              0.1609974,
+              0.2294325,
+              0.3882271,
+              0.812165
+            ],
+            [
+              0.1319342,
+              0.138257,
+              0.1527481,
+              0.1843136,
+              0.2527095,
+              0.4115451,
+              0.8352266
+            ],
+            [
+              0.1843638,
+              0.1907052,
+              0.2051682,
+              0.2370185,
+              0.3057847,
+              0.4649511,
+              0.8888841
+            ],
+            [
+              0.2825923,
+              0.2893976,
+              0.3047097,
+              0.3370705,
+              0.4061637,
+              0.5681906,
+              0.9921582
+            ],
+            [
+              0.4812921,
+              0.4888527,
+              0.505916,
+              0.5412091,
+              0.6131272,
+              0.774987,
+              1.2010501
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0368914,
+              0.0413287,
+              0.0523661,
+              0.0814115,
+              0.163066,
+              0.3928989,
+              1.0426665
+            ],
+            [
+              0.0402085,
+              0.0446333,
+              0.0556485,
+              0.0849603,
+              0.1666269,
+              0.3961654,
+              1.047529
+            ],
+            [
+              0.0468201,
+              0.0513511,
+              0.0623247,
+              0.0915807,
+              0.1732699,
+              0.4025711,
+              1.0626836
+            ],
+            [
+              0.0518216,
+              0.0567918,
+              0.0684397,
+              0.0975919,
+              0.1790043,
+              0.4091705,
+              1.0617148
+            ],
+            [
+              0.0460347,
+              0.0519565,
+              0.0651279,
+              0.0950362,
+              0.1762199,
+              0.4059368,
+              1.0538855
+            ],
+            [
+              0.0087592,
+              0.0157445,
+              0.0315857,
+              0.0644111,
+              0.1463616,
+              0.3763239,
+              1.0245845
+            ],
+            [
+              -0.1115697,
+              -0.1035502,
+              -0.0849169,
+              -0.0445883,
+              0.0415168,
+              0.2734499,
+              0.9214097
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0263512,
+              0.0320711,
+              0.0437188,
+              0.0722821,
+              0.1405058,
+              0.3282494,
+              0.8819515
+            ],
+            [
+              0.0267086,
+              0.0319464,
+              0.0438525,
+              0.0720465,
+              0.1405105,
+              0.327965,
+              0.8853743
+            ],
+            [
+              0.0262917,
+              0.0314824,
+              0.0441096,
+              0.0720393,
+              0.1401098,
+              0.327583,
+              0.8881245
+            ],
+            [
+              0.0259322,
+              0.0307286,
+              0.043344,
+              0.0713064,
+              0.1402706,
+              0.3282659,
+              0.8887318
+            ],
+            [
+              0.0289472,
+              0.0340319,
+              0.0459035,
+              0.0735953,
+              0.1419383,
+              0.3283662,
+              0.889118
+            ],
+            [
+              0.0351209,
+              0.040506,
+              0.0512731,
+              0.0783535,
+              0.1464047,
+              0.3328298,
+              0.8892534
+            ],
+            [
+              0.0463448,
+              0.0519,
+              0.0629748,
+              0.0902115,
+              0.1537787,
+              0.3364121,
+              0.8870051
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00140773,
+            0.00396338,
+            0.0111587,
+            0.0314168,
+            0.0884524,
+            0.249033
+          ],
+          "values": [
+            [
+              0.0136134,
+              0.0185474,
+              0.0328107,
+              0.074854,
+              0.195864,
+              0.5358001,
+              1.4988146
+            ],
+            [
+              0.0136334,
+              0.0185141,
+              0.0327991,
+              0.0749785,
+              0.1957587,
+              0.5368494,
+              1.4963081
+            ],
+            [
+              0.0145623,
+              0.0192591,
+              0.033088,
+              0.0749411,
+              0.1956642,
+              0.536986,
+              1.4974557
+            ],
+            [
+              0.0171921,
+              0.0219038,
+              0.0350426,
+              0.0754714,
+              0.1956245,
+              0.5352209,
+              1.4949504
+            ],
+            [
+              0.0214142,
+              0.0267873,
+              0.0395252,
+              0.0775123,
+              0.1961638,
+              0.5356735,
+              1.494302
+            ],
+            [
+              0.0278518,
+              0.034256,
+              0.0490148,
+              0.084398,
+              0.1988431,
+              0.5361292,
+              1.4878089
+            ],
+            [
+              0.0401932,
+              0.0470963,
+              0.0644132,
+              0.103244,
+              0.2089308,
+              0.5444479,
+              1.4918383
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v56.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v56.lib.json
new file mode 100644
index 0000000..4fa5e5c
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v56.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 0.0002433553,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 3.4071829e-05,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0003741,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 3.6144617e-05,
+      "when": "A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.000375,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0004349,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0003741,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 3.624146e-05,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0003742,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 3.4284265e-05,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0003741,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 3.6146487e-05,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.000375,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0004483,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0003741,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 3.624146e-05,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0003742,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 3.4284265e-05,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0003741,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 3.6146487e-05,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.000375,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0004451,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0003741,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 3.624146e-05,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0003742,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 3.4284265e-05,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0003741,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 3.6146487e-05,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0003745,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 4.3741202e-05,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0003741,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 3.6096716e-05,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0003742,
+      "when": "A1&A2&B1&!C1&D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.002251,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002147,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0031596,
+          0.0031598,
+          0.0031603,
+          0.0031615,
+          0.0031644,
+          0.003171,
+          0.0031862
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0025592,
+          -0.0025623,
+          -0.0025694,
+          -0.0025649,
+          -0.0025546,
+          -0.0025307,
+          -0.0024757
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002354
+  },
+  "pin A2": {
+    "capacitance": 0.002121,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002022,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0026343,
+          0.0026347,
+          0.0026357,
+          0.0026367,
+          0.002639,
+          0.0026443,
+          0.0026566
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0026276,
+          -0.0026302,
+          -0.0026362,
+          -0.0026362,
+          -0.0026362,
+          -0.0026361,
+          -0.0026358
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002221
+  },
+  "pin B1": {
+    "capacitance": 0.002279,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002148,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0027506,
+          0.0027478,
+          0.0027413,
+          0.002742,
+          0.0027439,
+          0.0027481,
+          0.0027578
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.002402,
+          -0.002416,
+          -0.0024482,
+          -0.0024574,
+          -0.0024784,
+          -0.002527,
+          -0.0026388
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002409
+  },
+  "pin C1": {
+    "capacitance": 0.002243,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002099,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0025529,
+          0.0025516,
+          0.0025484,
+          0.0025477,
+          0.002546,
+          0.0025422,
+          0.0025334
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0023418,
+          -0.0023742,
+          -0.0024489,
+          -0.0024513,
+          -0.0024568,
+          -0.0024696,
+          -0.002499
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002387
+  },
+  "pin D1": {
+    "capacitance": 0.002227,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002036,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0018011,
+          0.0018005,
+          0.0017991,
+          0.0018045,
+          0.0018169,
+          0.0018455,
+          0.0019114
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.001297,
+          -0.0012977,
+          -0.0012992,
+          -0.0013004,
+          -0.001303,
+          -0.001309,
+          -0.0013229
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002419
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001304206,
+            0.003401907,
+            0.008873575,
+            0.02314594,
+            0.06037414,
+            0.1574807
+          ],
+          "values": [
+            [
+              0.0094761,
+              0.0088678,
+              0.0069751,
+              0.0015786,
+              -0.014199,
+              -0.0586197,
+              -0.1766023
+            ],
+            [
+              0.0093177,
+              0.0087005,
+              0.0068831,
+              0.0014394,
+              -0.0143481,
+              -0.0587728,
+              -0.1767442
+            ],
+            [
+              0.0091694,
+              0.0085388,
+              0.006638,
+              0.0012387,
+              -0.0145485,
+              -0.0589705,
+              -0.1769397
+            ],
+            [
+              0.0090145,
+              0.0084072,
+              0.0065451,
+              0.0011175,
+              -0.0146937,
+              -0.0591108,
+              -0.1770795
+            ],
+            [
+              0.0088734,
+              0.0082781,
+              0.0063738,
+              0.0009315,
+              -0.0148422,
+              -0.0592291,
+              -0.177192
+            ],
+            [
+              0.0088825,
+              0.0082254,
+              0.0063201,
+              0.0009122,
+              -0.0149573,
+              -0.059282,
+              -0.1772272
+            ],
+            [
+              0.0104863,
+              0.0095295,
+              0.0070195,
+              0.0004518,
+              -0.0150979,
+              -0.0593621,
+              -0.1772937
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001304206,
+            0.003401907,
+            0.008873575,
+            0.02314594,
+            0.06037414,
+            0.1574807
+          ],
+          "values": [
+            [
+              0.0101726,
+              0.0113818,
+              0.0143411,
+              0.0214669,
+              0.0391668,
+              0.0845937,
+              0.2014474
+            ],
+            [
+              0.0101367,
+              0.0113591,
+              0.0142758,
+              0.021426,
+              0.0391063,
+              0.0846099,
+              0.2013449
+            ],
+            [
+              0.0101001,
+              0.0113107,
+              0.0142375,
+              0.0213346,
+              0.0391324,
+              0.0841508,
+              0.2022632
+            ],
+            [
+              0.010098,
+              0.0112695,
+              0.0141029,
+              0.0211599,
+              0.0389571,
+              0.0839412,
+              0.2020321
+            ],
+            [
+              0.0102475,
+              0.0112472,
+              0.0140176,
+              0.0208861,
+              0.0384559,
+              0.0837637,
+              0.2018379
+            ],
+            [
+              0.010677,
+              0.011699,
+              0.0142834,
+              0.020929,
+              0.038354,
+              0.0837659,
+              0.2017826
+            ],
+            [
+              0.0109628,
+              0.0118837,
+              0.0144788,
+              0.021063,
+              0.0387235,
+              0.083888,
+              0.2002255
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001304206,
+            0.003401907,
+            0.008873575,
+            0.02314594,
+            0.06037414,
+            0.1574807
+          ],
+          "values": [
+            [
+              0.0114908,
+              0.0109262,
+              0.0090241,
+              0.0036007,
+              -0.0121561,
+              -0.0564321,
+              -0.1743406
+            ],
+            [
+              0.0114053,
+              0.0108407,
+              0.0089371,
+              0.003516,
+              -0.0122421,
+              -0.0565217,
+              -0.174426
+            ],
+            [
+              0.0113893,
+              0.0107178,
+              0.008849,
+              0.0034229,
+              -0.0123551,
+              -0.0566223,
+              -0.1745263
+            ],
+            [
+              0.0112435,
+              0.0106417,
+              0.0087818,
+              0.0033212,
+              -0.0124853,
+              -0.0567299,
+              -0.1746275
+            ],
+            [
+              0.0111904,
+              0.0104657,
+              0.0086759,
+              0.003216,
+              -0.0124907,
+              -0.0568076,
+              -0.174713
+            ],
+            [
+              0.0110933,
+              0.0104253,
+              0.0085811,
+              0.0031983,
+              -0.0126105,
+              -0.0568654,
+              -0.1747462
+            ],
+            [
+              0.012642,
+              0.0116665,
+              0.0091407,
+              0.0027802,
+              -0.0127378,
+              -0.0569285,
+              -0.1747998
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001304206,
+            0.003401907,
+            0.008873575,
+            0.02314594,
+            0.06037414,
+            0.1574807
+          ],
+          "values": [
+            [
+              0.010213,
+              0.0114363,
+              0.0143813,
+              0.0214968,
+              0.0391625,
+              0.0846705,
+              0.2013362
+            ],
+            [
+              0.0101656,
+              0.0113862,
+              0.0143273,
+              0.0214394,
+              0.0391079,
+              0.0846117,
+              0.2012804
+            ],
+            [
+              0.0101108,
+              0.0113211,
+              0.0142519,
+              0.021358,
+              0.0389623,
+              0.0844888,
+              0.2009592
+            ],
+            [
+              0.0100949,
+              0.0112854,
+              0.0141607,
+              0.0212142,
+              0.0390127,
+              0.084047,
+              0.2020595
+            ],
+            [
+              0.0102356,
+              0.0112804,
+              0.0141107,
+              0.0210273,
+              0.038651,
+              0.0839001,
+              0.2020114
+            ],
+            [
+              0.0108016,
+              0.0118402,
+              0.0143517,
+              0.0209726,
+              0.0385957,
+              0.0836177,
+              0.2017652
+            ],
+            [
+              0.0110754,
+              0.0120426,
+              0.01469,
+              0.0213206,
+              0.0387943,
+              0.0839379,
+              0.2015069
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001304206,
+            0.003401907,
+            0.008873575,
+            0.02314594,
+            0.06037414,
+            0.1574807
+          ],
+          "values": [
+            [
+              0.0084269,
+              0.0077612,
+              0.0059703,
+              0.0005865,
+              -0.0153307,
+              -0.0598913,
+              -0.1779173
+            ],
+            [
+              0.0082751,
+              0.0076287,
+              0.0058793,
+              0.0004702,
+              -0.0154455,
+              -0.060047,
+              -0.1780806
+            ],
+            [
+              0.0081717,
+              0.0075338,
+              0.0057002,
+              0.0003659,
+              -0.0155922,
+              -0.0601664,
+              -0.1781866
+            ],
+            [
+              0.0080875,
+              0.0074235,
+              0.0056327,
+              0.0001893,
+              -0.0156801,
+              -0.0602404,
+              -0.1782599
+            ],
+            [
+              0.0080217,
+              0.0073514,
+              0.0055602,
+              0.0001327,
+              -0.0157959,
+              -0.060318,
+              -0.178322
+            ],
+            [
+              0.0079703,
+              0.0072641,
+              0.0055127,
+              6.69e-05,
+              -0.0158765,
+              -0.0603986,
+              -0.1783948
+            ],
+            [
+              0.0103763,
+              0.0094122,
+              0.0069611,
+              0.0004128,
+              -0.0162446,
+              -0.0604778,
+              -0.1784499
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001304206,
+            0.003401907,
+            0.008873575,
+            0.02314594,
+            0.06037414,
+            0.1574807
+          ],
+          "values": [
+            [
+              0.0091042,
+              0.0102155,
+              0.012977,
+              0.019823,
+              0.0373738,
+              0.0825179,
+              0.1989716
+            ],
+            [
+              0.0091065,
+              0.0102141,
+              0.0129565,
+              0.0197982,
+              0.0372089,
+              0.0825263,
+              0.2001898
+            ],
+            [
+              0.0090887,
+              0.0101863,
+              0.01292,
+              0.0197363,
+              0.0371164,
+              0.082153,
+              0.1992518
+            ],
+            [
+              0.0089808,
+              0.010045,
+              0.0127512,
+              0.0195621,
+              0.0369733,
+              0.0823857,
+              0.2000423
+            ],
+            [
+              0.0091426,
+              0.0101374,
+              0.0126247,
+              0.0193672,
+              0.0366543,
+              0.0817885,
+              0.1988398
+            ],
+            [
+              0.0091417,
+              0.0101041,
+              0.0127248,
+              0.019451,
+              0.0367386,
+              0.0815036,
+              0.1988385
+            ],
+            [
+              0.0093485,
+              0.0102953,
+              0.0129703,
+              0.0195121,
+              0.0370974,
+              0.082196,
+              0.1990888
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001304206,
+            0.003401907,
+            0.008873575,
+            0.02314594,
+            0.06037414,
+            0.1574807
+          ],
+          "values": [
+            [
+              0.0071887,
+              0.0065529,
+              0.0047761,
+              -0.0007046,
+              -0.0166024,
+              -0.0611907,
+              -0.1791847
+            ],
+            [
+              0.0070129,
+              0.0063448,
+              0.0045517,
+              -0.0008343,
+              -0.0167328,
+              -0.0613192,
+              -0.1793376
+            ],
+            [
+              0.0068827,
+              0.0062217,
+              0.0043728,
+              -0.0009351,
+              -0.016881,
+              -0.0614553,
+              -0.1794529
+            ],
+            [
+              0.0068018,
+              0.0061362,
+              0.0043073,
+              -0.0010656,
+              -0.0170174,
+              -0.0615545,
+              -0.1795579
+            ],
+            [
+              0.0066887,
+              0.0061082,
+              0.0042652,
+              -0.0011585,
+              -0.0170665,
+              -0.0616017,
+              -0.1796053
+            ],
+            [
+              0.0066105,
+              0.0059694,
+              0.0041489,
+              -0.0012431,
+              -0.0171371,
+              -0.0616897,
+              -0.1796867
+            ],
+            [
+              0.0092603,
+              0.0082872,
+              0.0057674,
+              -0.0006927,
+              -0.0175121,
+              -0.0617616,
+              -0.1797209
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001304206,
+            0.003401907,
+            0.008873575,
+            0.02314594,
+            0.06037414,
+            0.1574807
+          ],
+          "values": [
+            [
+              0.0085331,
+              0.0095998,
+              0.0122714,
+              0.0190484,
+              0.0363404,
+              0.0816896,
+              0.1991441
+            ],
+            [
+              0.0085655,
+              0.0096272,
+              0.0123013,
+              0.0190916,
+              0.0363758,
+              0.0812155,
+              0.1992676
+            ],
+            [
+              0.0086046,
+              0.009648,
+              0.0123057,
+              0.0191238,
+              0.036579,
+              0.0813984,
+              0.1984025
+            ],
+            [
+              0.0084927,
+              0.009531,
+              0.0121395,
+              0.0188968,
+              0.0364409,
+              0.0813051,
+              0.1983126
+            ],
+            [
+              0.0084411,
+              0.0093997,
+              0.011897,
+              0.0186797,
+              0.0360916,
+              0.0814323,
+              0.1981344
+            ],
+            [
+              0.0082797,
+              0.009325,
+              0.0119133,
+              0.0185891,
+              0.0359619,
+              0.0809131,
+              0.1987204
+            ],
+            [
+              0.0084098,
+              0.0094271,
+              0.0119435,
+              0.0186649,
+              0.036067,
+              0.0812122,
+              0.1981171
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001304206,
+            0.003401907,
+            0.008873575,
+            0.02314594,
+            0.06037414,
+            0.1574807
+          ],
+          "values": [
+            [
+              0.006688,
+              0.005995,
+              0.0041607,
+              -0.0011281,
+              -0.0171263,
+              -0.0616643,
+              -0.1796691
+            ],
+            [
+              0.0064378,
+              0.0058222,
+              0.0040515,
+              -0.001336,
+              -0.0172896,
+              -0.0618482,
+              -0.1798606
+            ],
+            [
+              0.0062765,
+              0.0056553,
+              0.0038591,
+              -0.0014986,
+              -0.0174762,
+              -0.0619923,
+              -0.1800057
+            ],
+            [
+              0.0061673,
+              0.0055514,
+              0.0037119,
+              -0.0016514,
+              -0.0175663,
+              -0.0621443,
+              -0.1801534
+            ],
+            [
+              0.0062039,
+              0.0055551,
+              0.0037646,
+              -0.0016207,
+              -0.0175925,
+              -0.0621591,
+              -0.1801447
+            ],
+            [
+              0.0062415,
+              0.0056058,
+              0.0038247,
+              -0.0016088,
+              -0.0174538,
+              -0.0620554,
+              -0.1800339
+            ],
+            [
+              0.0095727,
+              0.0085841,
+              0.0060428,
+              -0.0005447,
+              -0.0178376,
+              -0.0622781,
+              -0.1801802
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001304206,
+            0.003401907,
+            0.008873575,
+            0.02314594,
+            0.06037414,
+            0.1574807
+          ],
+          "values": [
+            [
+              0.0065565,
+              0.0075638,
+              0.0101248,
+              0.016712,
+              0.0338367,
+              0.0785281,
+              0.1966117
+            ],
+            [
+              0.0065265,
+              0.0075231,
+              0.0100911,
+              0.0167557,
+              0.0338199,
+              0.0785076,
+              0.1955162
+            ],
+            [
+              0.0064047,
+              0.0074125,
+              0.0099915,
+              0.0166355,
+              0.0339837,
+              0.0791211,
+              0.1957879
+            ],
+            [
+              0.0062764,
+              0.0072695,
+              0.0098306,
+              0.0164708,
+              0.0337739,
+              0.0790413,
+              0.1941931
+            ],
+            [
+              0.0061761,
+              0.0071455,
+              0.0096504,
+              0.0163079,
+              0.0334427,
+              0.0788605,
+              0.1955341
+            ],
+            [
+              0.0060779,
+              0.0070718,
+              0.0096398,
+              0.0163295,
+              0.0335489,
+              0.0783497,
+              0.1952884
+            ],
+            [
+              0.0062272,
+              0.007207,
+              0.0097272,
+              0.0164317,
+              0.033825,
+              0.0787033,
+              0.1945746
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.157481,
+    "max_transition": 1.507106,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.3522459,
+              0.3618315,
+              0.380648,
+              0.4143738,
+              0.4774648,
+              0.600293,
+              0.8680264
+            ],
+            [
+              0.3553666,
+              0.3648265,
+              0.3837725,
+              0.4176239,
+              0.4807665,
+              0.6034003,
+              0.8712241
+            ],
+            [
+              0.3667747,
+              0.3762285,
+              0.3949612,
+              0.4286747,
+              0.4917086,
+              0.6145613,
+              0.8824036
+            ],
+            [
+              0.4002298,
+              0.4097676,
+              0.428229,
+              0.4625803,
+              0.5255404,
+              0.6481334,
+              0.9164431
+            ],
+            [
+              0.4768265,
+              0.4864248,
+              0.5053617,
+              0.5397069,
+              0.6014337,
+              0.7245209,
+              0.9929507
+            ],
+            [
+              0.6386007,
+              0.6480216,
+              0.6669986,
+              0.7014395,
+              0.7642061,
+              0.8870258,
+              1.155132
+            ],
+            [
+              0.959579,
+              0.9701215,
+              0.9902899,
+              1.0268401,
+              1.09287,
+              1.2186994,
+              1.4892333
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0698712,
+              0.0769687,
+              0.0937406,
+              0.133578,
+              0.2317199,
+              0.4811524,
+              1.1266373
+            ],
+            [
+              0.0741506,
+              0.0813869,
+              0.0979705,
+              0.137775,
+              0.2358538,
+              0.4851193,
+              1.1311212
+            ],
+            [
+              0.0849184,
+              0.0920937,
+              0.1086473,
+              0.1482438,
+              0.2463827,
+              0.4954684,
+              1.142107
+            ],
+            [
+              0.1091038,
+              0.1161858,
+              0.1322174,
+              0.1712967,
+              0.2691702,
+              0.5186199,
+              1.1625626
+            ],
+            [
+              0.1453809,
+              0.1527552,
+              0.169263,
+              0.2080098,
+              0.3054492,
+              0.5550797,
+              1.1992694
+            ],
+            [
+              0.189618,
+              0.1982976,
+              0.215911,
+              0.2549729,
+              0.3513761,
+              0.6004494,
+              1.2484892
+            ],
+            [
+              0.220013,
+              0.2316672,
+              0.2537076,
+              0.2949559,
+              0.3916387,
+              0.640658,
+              1.2856332
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0424004,
+              0.0481509,
+              0.0599154,
+              0.0851807,
+              0.1379413,
+              0.2605113,
+              0.5758927
+            ],
+            [
+              0.0423277,
+              0.0482839,
+              0.0598844,
+              0.0851131,
+              0.1379194,
+              0.2607501,
+              0.5757141
+            ],
+            [
+              0.0424615,
+              0.0481175,
+              0.0600094,
+              0.085158,
+              0.1378974,
+              0.2607275,
+              0.5756949
+            ],
+            [
+              0.0424438,
+              0.0481688,
+              0.0601164,
+              0.0849565,
+              0.1379102,
+              0.260278,
+              0.578518
+            ],
+            [
+              0.0422118,
+              0.0478493,
+              0.0595805,
+              0.0838986,
+              0.1379245,
+              0.2593494,
+              0.5759125
+            ],
+            [
+              0.042592,
+              0.0482141,
+              0.0600242,
+              0.0839766,
+              0.1361512,
+              0.2607211,
+              0.5783816
+            ],
+            [
+              0.0483518,
+              0.0541338,
+              0.0662653,
+              0.0915075,
+              0.1449456,
+              0.2657974,
+              0.5794176
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0225661,
+              0.0301808,
+              0.0496229,
+              0.1003244,
+              0.2338409,
+              0.5809839,
+              1.4974757
+            ],
+            [
+              0.0224723,
+              0.030003,
+              0.0494446,
+              0.1006797,
+              0.2340655,
+              0.5816248,
+              1.4984476
+            ],
+            [
+              0.0222724,
+              0.0297099,
+              0.0491096,
+              0.1004547,
+              0.2336471,
+              0.581054,
+              1.4944266
+            ],
+            [
+              0.0225207,
+              0.0297984,
+              0.0488227,
+              0.0997271,
+              0.2336812,
+              0.5834264,
+              1.4908595
+            ],
+            [
+              0.0251322,
+              0.03189,
+              0.0499837,
+              0.1000037,
+              0.233305,
+              0.5834459,
+              1.4900591
+            ],
+            [
+              0.0316901,
+              0.0381018,
+              0.0540013,
+              0.1008368,
+              0.2343903,
+              0.580744,
+              1.4918674
+            ],
+            [
+              0.0443452,
+              0.0507275,
+              0.0658031,
+              0.1076477,
+              0.2340751,
+              0.584381,
+              1.4883823
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.3971979,
+              0.4075578,
+              0.4273877,
+              0.4630666,
+              0.5274668,
+              0.6519247,
+              0.9218132
+            ],
+            [
+              0.4016567,
+              0.4120128,
+              0.4318461,
+              0.4675219,
+              0.5319398,
+              0.6563933,
+              0.9262782
+            ],
+            [
+              0.4151932,
+              0.4254555,
+              0.4453358,
+              0.4809503,
+              0.5455177,
+              0.6699144,
+              0.9398158
+            ],
+            [
+              0.4480604,
+              0.4585312,
+              0.4784566,
+              0.5143189,
+              0.5785279,
+              0.7029581,
+              0.9729683
+            ],
+            [
+              0.5199939,
+              0.5303369,
+              0.550324,
+              0.5858898,
+              0.6496943,
+              0.7743166,
+              1.0444164
+            ],
+            [
+              0.6692359,
+              0.6795661,
+              0.6996204,
+              0.7349901,
+              0.7995336,
+              0.9239651,
+              1.1939708
+            ],
+            [
+              0.9665901,
+              0.9778106,
+              0.9992281,
+              1.0365007,
+              1.1024778,
+              1.2299697,
+              1.5015723
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0735002,
+              0.0807579,
+              0.0974658,
+              0.1372472,
+              0.235441,
+              0.4843551,
+              1.1308815
+            ],
+            [
+              0.0783068,
+              0.085548,
+              0.1022042,
+              0.1419396,
+              0.2400853,
+              0.4890526,
+              1.1355134
+            ],
+            [
+              0.0892661,
+              0.09645,
+              0.1130229,
+              0.1526722,
+              0.2508264,
+              0.4993959,
+              1.1467717
+            ],
+            [
+              0.1132986,
+              0.1203282,
+              0.1366409,
+              0.1758353,
+              0.2738224,
+              0.523113,
+              1.1685379
+            ],
+            [
+              0.1544704,
+              0.161857,
+              0.1784198,
+              0.2173765,
+              0.3148551,
+              0.5642396,
+              1.2091961
+            ],
+            [
+              0.2115147,
+              0.2199044,
+              0.2373996,
+              0.2766619,
+              0.3738699,
+              0.622543,
+              1.2676065
+            ],
+            [
+              0.2710436,
+              0.2817136,
+              0.3035569,
+              0.3451931,
+              0.4419386,
+              0.6917081,
+              1.3356002
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0473294,
+              0.0526471,
+              0.0640235,
+              0.0882273,
+              0.1408502,
+              0.2624589,
+              0.5810222
+            ],
+            [
+              0.0473319,
+              0.0526621,
+              0.0640273,
+              0.0882212,
+              0.1409025,
+              0.2625077,
+              0.5809829
+            ],
+            [
+              0.0469247,
+              0.0527901,
+              0.0640802,
+              0.08832,
+              0.1413351,
+              0.2625566,
+              0.5809903
+            ],
+            [
+              0.0470484,
+              0.052987,
+              0.0645732,
+              0.0878631,
+              0.1398799,
+              0.2627814,
+              0.5812291
+            ],
+            [
+              0.0472219,
+              0.0524858,
+              0.0640438,
+              0.0880855,
+              0.1416771,
+              0.2637799,
+              0.5782431
+            ],
+            [
+              0.0472239,
+              0.0528565,
+              0.0642357,
+              0.0887794,
+              0.1414441,
+              0.2627692,
+              0.5812351
+            ],
+            [
+              0.0522147,
+              0.0579403,
+              0.0697007,
+              0.0934063,
+              0.1466368,
+              0.2684281,
+              0.5822915
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.022515,
+              0.0300042,
+              0.0493531,
+              0.100454,
+              0.2344299,
+              0.5821973,
+              1.4976761
+            ],
+            [
+              0.022411,
+              0.0299071,
+              0.0493412,
+              0.1005655,
+              0.234384,
+              0.582148,
+              1.4976965
+            ],
+            [
+              0.0222361,
+              0.0298023,
+              0.0491707,
+              0.100435,
+              0.2340537,
+              0.5821978,
+              1.4941851
+            ],
+            [
+              0.022324,
+              0.0297073,
+              0.0489286,
+              0.0997638,
+              0.2336021,
+              0.5828959,
+              1.4943989
+            ],
+            [
+              0.0245696,
+              0.0315898,
+              0.0498084,
+              0.0993565,
+              0.2335529,
+              0.5825012,
+              1.4945254
+            ],
+            [
+              0.0301803,
+              0.0367444,
+              0.0536797,
+              0.1010093,
+              0.2339897,
+              0.5816121,
+              1.4914813
+            ],
+            [
+              0.0412765,
+              0.0479066,
+              0.0643445,
+              0.1063099,
+              0.2343002,
+              0.5842276,
+              1.4915096
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.2802319,
+              0.2891138,
+              0.3066624,
+              0.3389212,
+              0.3979022,
+              0.5158854,
+              0.7793169
+            ],
+            [
+              0.2831725,
+              0.2920883,
+              0.3095441,
+              0.3417269,
+              0.4012056,
+              0.5184102,
+              0.7829097
+            ],
+            [
+              0.2959579,
+              0.3048103,
+              0.3222937,
+              0.3540215,
+              0.4136922,
+              0.5315384,
+              0.7947448
+            ],
+            [
+              0.3294826,
+              0.3382678,
+              0.3557656,
+              0.3880407,
+              0.4466134,
+              0.5649325,
+              0.8284345
+            ],
+            [
+              0.4038812,
+              0.4127347,
+              0.4300021,
+              0.4622872,
+              0.521677,
+              0.6392914,
+              0.9029044
+            ],
+            [
+              0.567225,
+              0.576278,
+              0.5940086,
+              0.6265079,
+              0.685247,
+              0.8033071,
+              1.0673233
+            ],
+            [
+              0.8926585,
+              0.9030061,
+              0.923719,
+              0.9590043,
+              1.0235673,
+              1.1469274,
+              1.412626
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0533564,
+              0.0596933,
+              0.0750723,
+              0.1128187,
+              0.208752,
+              0.4569785,
+              1.1018468
+            ],
+            [
+              0.058227,
+              0.0644779,
+              0.0796909,
+              0.1175146,
+              0.2132538,
+              0.4607559,
+              1.1050978
+            ],
+            [
+              0.0695016,
+              0.0756948,
+              0.0907817,
+              0.1285755,
+              0.2245469,
+              0.4720213,
+              1.1156134
+            ],
+            [
+              0.0909814,
+              0.0972141,
+              0.1122678,
+              0.1498365,
+              0.2454538,
+              0.4933978,
+              1.1378964
+            ],
+            [
+              0.1200573,
+              0.1266312,
+              0.1418062,
+              0.1789808,
+              0.2747346,
+              0.5232477,
+              1.1680303
+            ],
+            [
+              0.1494811,
+              0.1569273,
+              0.1736854,
+              0.2113796,
+              0.3071125,
+              0.5543113,
+              1.1990636
+            ],
+            [
+              0.1571336,
+              0.1681513,
+              0.1897384,
+              0.229826,
+              0.324989,
+              0.572638,
+              1.21727
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0383078,
+              0.043728,
+              0.054921,
+              0.0782341,
+              0.1302426,
+              0.2505417,
+              0.571827
+            ],
+            [
+              0.0383287,
+              0.0437593,
+              0.0552187,
+              0.0782356,
+              0.1292278,
+              0.2501929,
+              0.5693176
+            ],
+            [
+              0.0382986,
+              0.0437062,
+              0.0550079,
+              0.0789926,
+              0.1300279,
+              0.2497094,
+              0.5721807
+            ],
+            [
+              0.0383769,
+              0.0438155,
+              0.0549759,
+              0.0785629,
+              0.1296607,
+              0.2509565,
+              0.5719671
+            ],
+            [
+              0.0383333,
+              0.0437322,
+              0.054877,
+              0.077779,
+              0.1288185,
+              0.2506017,
+              0.5718093
+            ],
+            [
+              0.0399,
+              0.0447887,
+              0.0561785,
+              0.0786073,
+              0.1309089,
+              0.2506541,
+              0.5715045
+            ],
+            [
+              0.0478838,
+              0.0534443,
+              0.0653908,
+              0.090056,
+              0.1402801,
+              0.2594562,
+              0.575408
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0188973,
+              0.0261969,
+              0.0456976,
+              0.0965736,
+              0.2305419,
+              0.5798393,
+              1.4952792
+            ],
+            [
+              0.0188151,
+              0.0261364,
+              0.0455286,
+              0.0969675,
+              0.2300017,
+              0.5804128,
+              1.4948583
+            ],
+            [
+              0.0186361,
+              0.0259931,
+              0.0454182,
+              0.0968189,
+              0.2308633,
+              0.5821005,
+              1.4989522
+            ],
+            [
+              0.0195277,
+              0.0264798,
+              0.0454892,
+              0.096641,
+              0.2299501,
+              0.580461,
+              1.4947863
+            ],
+            [
+              0.0224738,
+              0.028842,
+              0.0466615,
+              0.0969129,
+              0.2303605,
+              0.5815395,
+              1.4972349
+            ],
+            [
+              0.0292386,
+              0.0357729,
+              0.0512416,
+              0.0982167,
+              0.2317396,
+              0.5787997,
+              1.4961024
+            ],
+            [
+              0.0423369,
+              0.0488691,
+              0.0643872,
+              0.1051615,
+              0.2319964,
+              0.5845051,
+              1.4900255
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.2525802,
+              0.2614711,
+              0.2790053,
+              0.3114137,
+              0.3708988,
+              0.4876707,
+              0.7521551
+            ],
+            [
+              0.2549882,
+              0.2638615,
+              0.2811785,
+              0.3135848,
+              0.3729346,
+              0.4906253,
+              0.7539783
+            ],
+            [
+              0.2664145,
+              0.2753013,
+              0.2927619,
+              0.3250078,
+              0.3835587,
+              0.501786,
+              0.7656838
+            ],
+            [
+              0.2974869,
+              0.3063337,
+              0.3239131,
+              0.356188,
+              0.4154192,
+              0.5325789,
+              0.7966729
+            ],
+            [
+              0.3721841,
+              0.3810831,
+              0.3986235,
+              0.430818,
+              0.4899081,
+              0.6077405,
+              0.8714793
+            ],
+            [
+              0.5418432,
+              0.5509717,
+              0.568888,
+              0.6014968,
+              0.6606021,
+              0.7787193,
+              1.0429475
+            ],
+            [
+              0.8728355,
+              0.8838925,
+              0.9051935,
+              0.9417921,
+              1.0073153,
+              1.1307429,
+              1.3978644
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0512407,
+              0.0573056,
+              0.0722212,
+              0.1094662,
+              0.2051138,
+              0.4531681,
+              1.096331
+            ],
+            [
+              0.0560512,
+              0.0621139,
+              0.0770028,
+              0.1142159,
+              0.2097375,
+              0.4574707,
+              1.1032467
+            ],
+            [
+              0.067022,
+              0.0729953,
+              0.0877838,
+              0.1250769,
+              0.2205749,
+              0.467833,
+              1.1110267
+            ],
+            [
+              0.0870912,
+              0.0931902,
+              0.107921,
+              0.1450821,
+              0.240597,
+              0.4879974,
+              1.1315497
+            ],
+            [
+              0.1134121,
+              0.1195501,
+              0.1346736,
+              0.1719206,
+              0.2674928,
+              0.5153061,
+              1.1588932
+            ],
+            [
+              0.1378111,
+              0.1461103,
+              0.1628132,
+              0.2000747,
+              0.2954385,
+              0.5427946,
+              1.1897224
+            ],
+            [
+              0.1347596,
+              0.1459293,
+              0.1682474,
+              0.2095716,
+              0.3038647,
+              0.5517287,
+              1.1956276
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0384325,
+              0.0438334,
+              0.0549551,
+              0.0779437,
+              0.1290563,
+              0.2502662,
+              0.5703767
+            ],
+            [
+              0.0383388,
+              0.0437443,
+              0.0548242,
+              0.0783984,
+              0.1292172,
+              0.2504286,
+              0.5719833
+            ],
+            [
+              0.0383921,
+              0.0433604,
+              0.0544909,
+              0.0785581,
+              0.1297655,
+              0.2512935,
+              0.5720002
+            ],
+            [
+              0.0382881,
+              0.0436418,
+              0.0550334,
+              0.0778602,
+              0.1287748,
+              0.2500754,
+              0.5722271
+            ],
+            [
+              0.0382311,
+              0.0435018,
+              0.0548344,
+              0.07794,
+              0.1286316,
+              0.2509263,
+              0.571364
+            ],
+            [
+              0.0406065,
+              0.045703,
+              0.0570005,
+              0.0793861,
+              0.1313803,
+              0.2517647,
+              0.5695313
+            ],
+            [
+              0.0528225,
+              0.0582514,
+              0.0700563,
+              0.0956533,
+              0.1453832,
+              0.2613829,
+              0.5767299
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0179503,
+              0.0252004,
+              0.0445026,
+              0.0961813,
+              0.2304329,
+              0.580828,
+              1.4938
+            ],
+            [
+              0.017898,
+              0.0251385,
+              0.0445929,
+              0.0961021,
+              0.2306727,
+              0.5805991,
+              1.4919335
+            ],
+            [
+              0.0179124,
+              0.0251863,
+              0.0445579,
+              0.0958904,
+              0.2299796,
+              0.5814317,
+              1.4995367
+            ],
+            [
+              0.0189094,
+              0.0259478,
+              0.0447689,
+              0.0959467,
+              0.2298596,
+              0.5816748,
+              1.4996527
+            ],
+            [
+              0.0220903,
+              0.0285133,
+              0.046017,
+              0.0966033,
+              0.2294079,
+              0.5806627,
+              1.4988907
+            ],
+            [
+              0.0295883,
+              0.0353787,
+              0.0510293,
+              0.0975517,
+              0.231966,
+              0.5785505,
+              1.4937613
+            ],
+            [
+              0.0441466,
+              0.0509406,
+              0.0652476,
+              0.1054502,
+              0.232064,
+              0.5819295,
+              1.4887589
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.2077607,
+              0.2166987,
+              0.2342447,
+              0.2665593,
+              0.3255388,
+              0.4434582,
+              0.7072889
+            ],
+            [
+              0.2084831,
+              0.2174173,
+              0.2348376,
+              0.2671008,
+              0.3263563,
+              0.4442522,
+              0.7078397
+            ],
+            [
+              0.217247,
+              0.226205,
+              0.2437507,
+              0.2768809,
+              0.3354454,
+              0.4536167,
+              0.7174152
+            ],
+            [
+              0.2437917,
+              0.2526083,
+              0.2698061,
+              0.3019605,
+              0.3613763,
+              0.479186,
+              0.7435914
+            ],
+            [
+              0.313696,
+              0.3226987,
+              0.3404791,
+              0.3726185,
+              0.4320047,
+              0.5501878,
+              0.8140053
+            ],
+            [
+              0.4733494,
+              0.48299,
+              0.5015342,
+              0.5345736,
+              0.5936458,
+              0.7117134,
+              0.9754506
+            ],
+            [
+              0.7576875,
+              0.7701435,
+              0.7941561,
+              0.8353959,
+              0.9067045,
+              1.0292321,
+              1.2956346
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0464829,
+              0.0522857,
+              0.0666737,
+              0.1032022,
+              0.1972412,
+              0.4434556,
+              1.0873614
+            ],
+            [
+              0.0511539,
+              0.0569355,
+              0.0713826,
+              0.1079312,
+              0.2022007,
+              0.4486983,
+              1.0933204
+            ],
+            [
+              0.0618183,
+              0.0676447,
+              0.0820981,
+              0.1186837,
+              0.2135083,
+              0.4598423,
+              1.1068158
+            ],
+            [
+              0.0798919,
+              0.0858243,
+              0.1004138,
+              0.1370491,
+              0.2321239,
+              0.478707,
+              1.1282965
+            ],
+            [
+              0.1019033,
+              0.1082327,
+              0.1231413,
+              0.1599633,
+              0.255074,
+              0.5026295,
+              1.1508414
+            ],
+            [
+              0.1201593,
+              0.1285219,
+              0.1454795,
+              0.1826281,
+              0.277269,
+              0.524926,
+              1.1710282
+            ],
+            [
+              0.1062452,
+              0.118405,
+              0.1415263,
+              0.1839301,
+              0.2784836,
+              0.5253495,
+              1.1702878
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0383531,
+              0.0433614,
+              0.0544757,
+              0.0783664,
+              0.1289401,
+              0.2508729,
+              0.5716227
+            ],
+            [
+              0.0382874,
+              0.0437388,
+              0.0550754,
+              0.0788521,
+              0.1302947,
+              0.2503899,
+              0.5717495
+            ],
+            [
+              0.0382098,
+              0.0436076,
+              0.0548189,
+              0.0780851,
+              0.128992,
+              0.250929,
+              0.5712258
+            ],
+            [
+              0.0382314,
+              0.043757,
+              0.0548046,
+              0.0782252,
+              0.1293173,
+              0.2506401,
+              0.5690075
+            ],
+            [
+              0.0379008,
+              0.0433655,
+              0.0544519,
+              0.0784464,
+              0.1299139,
+              0.2494558,
+              0.5726103
+            ],
+            [
+              0.04331,
+              0.048356,
+              0.0590752,
+              0.0809396,
+              0.1315661,
+              0.250561,
+              0.5699407
+            ],
+            [
+              0.0625129,
+              0.0690622,
+              0.0811638,
+              0.1040554,
+              0.150001,
+              0.2625414,
+              0.5787549
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00130421,
+            0.00340191,
+            0.00887357,
+            0.0231459,
+            0.0603741,
+            0.157481
+          ],
+          "values": [
+            [
+              0.0174154,
+              0.0247246,
+              0.0442108,
+              0.0960909,
+              0.2304885,
+              0.5823266,
+              1.4959637
+            ],
+            [
+              0.0174393,
+              0.0247205,
+              0.0442222,
+              0.0959517,
+              0.2308383,
+              0.5802318,
+              1.4970827
+            ],
+            [
+              0.0175562,
+              0.0248177,
+              0.0441608,
+              0.095312,
+              0.2296409,
+              0.5837165,
+              1.5071056
+            ],
+            [
+              0.0189012,
+              0.0257643,
+              0.0445731,
+              0.0957278,
+              0.2312976,
+              0.5818928,
+              1.4983776
+            ],
+            [
+              0.0227166,
+              0.0290282,
+              0.0460713,
+              0.0961375,
+              0.2294644,
+              0.5830847,
+              1.5031821
+            ],
+            [
+              0.0309479,
+              0.0368061,
+              0.0524333,
+              0.0976112,
+              0.2314674,
+              0.5767085,
+              1.4913355
+            ],
+            [
+              0.0468357,
+              0.0540577,
+              0.0687594,
+              0.1083561,
+              0.2322735,
+              0.5854096,
+              1.4871355
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v65.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v65.lib.json
new file mode 100644
index 0000000..ce87b31
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v65.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 0.0004970863,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 5.1905346e-05,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0007833,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 6.078963e-05,
+      "when": "A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0007846,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.000859,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0007833,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 6.0923001e-05,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0007834,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 5.2194777e-05,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0007833,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 6.0791751e-05,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0007846,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0008754,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0007833,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 6.0923001e-05,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0007834,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 5.2194777e-05,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0007833,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 6.0791751e-05,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0007846,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0008711,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0007833,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 6.0923001e-05,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0007834,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 5.2194777e-05,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0007833,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 6.0791751e-05,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0007838,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 6.9042028e-05,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0007833,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 6.0663964e-05,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0007834,
+      "when": "A1&A2&B1&!C1&D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.0023,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002194,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0036105,
+          0.0036075,
+          0.0036005,
+          0.0036012,
+          0.0036027,
+          0.0036061,
+          0.003614
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0028975,
+          -0.0029009,
+          -0.0029087,
+          -0.0029037,
+          -0.002892,
+          -0.0028651,
+          -0.0028031
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002405
+  },
+  "pin A2": {
+    "capacitance": 0.002167,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002066,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0030112,
+          0.0030097,
+          0.0030062,
+          0.0030043,
+          0.0030001,
+          0.0029903,
+          0.0029677
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0029957,
+          -0.0030004,
+          -0.0030112,
+          -0.0030111,
+          -0.0030109,
+          -0.0030103,
+          -0.0030091
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002269
+  },
+  "pin B1": {
+    "capacitance": 0.002322,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002189,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0031133,
+          0.0031177,
+          0.003128,
+          0.0031284,
+          0.0031294,
+          0.0031315,
+          0.0031364
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0027035,
+          -0.0027325,
+          -0.0027993,
+          -0.0028098,
+          -0.0028342,
+          -0.0028902,
+          -0.0030195
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002455
+  },
+  "pin C1": {
+    "capacitance": 0.002281,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002134,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0029199,
+          0.0029174,
+          0.0029118,
+          0.0029126,
+          0.0029143,
+          0.0029182,
+          0.0029273
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0026643,
+          -0.0027064,
+          -0.0028035,
+          -0.0028064,
+          -0.0028129,
+          -0.002828,
+          -0.0028627
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002429
+  },
+  "pin D1": {
+    "capacitance": 0.002272,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002073,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0020417,
+          0.0020396,
+          0.0020347,
+          0.0020401,
+          0.0020525,
+          0.0020812,
+          0.0021474
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0014295,
+          -0.0014297,
+          -0.0014302,
+          -0.0014319,
+          -0.0014359,
+          -0.001445,
+          -0.0014661
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.00247
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001330632,
+            0.003541162,
+            0.009423965,
+            0.02507965,
+            0.06674357,
+            0.1776222
+          ],
+          "values": [
+            [
+              0.0107575,
+              0.0099968,
+              0.0078723,
+              0.0013995,
+              -0.0181517,
+              -0.0739561,
+              -0.2246837
+            ],
+            [
+              0.0105984,
+              0.0097931,
+              0.0076391,
+              0.0012135,
+              -0.0182891,
+              -0.0741159,
+              -0.2248605
+            ],
+            [
+              0.0103902,
+              0.0095916,
+              0.0074697,
+              0.0009084,
+              -0.0185264,
+              -0.0743233,
+              -0.2250988
+            ],
+            [
+              0.0102636,
+              0.009457,
+              0.0072738,
+              0.0008159,
+              -0.0187675,
+              -0.074488,
+              -0.2252614
+            ],
+            [
+              0.0101066,
+              0.0093672,
+              0.0071897,
+              0.0006337,
+              -0.0188947,
+              -0.0746106,
+              -0.2253822
+            ],
+            [
+              0.0100725,
+              0.0092488,
+              0.0070873,
+              0.0006608,
+              -0.018949,
+              -0.0746649,
+              -0.2254156
+            ],
+            [
+              0.0137805,
+              0.0126272,
+              0.0097088,
+              0.0017837,
+              -0.0194591,
+              -0.0747607,
+              -0.2254805
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001330632,
+            0.003541162,
+            0.009423965,
+            0.02507965,
+            0.06674357,
+            0.1776222
+          ],
+          "values": [
+            [
+              0.0115874,
+              0.0129922,
+              0.0164855,
+              0.0250134,
+              0.0468269,
+              0.1030558,
+              0.2538324
+            ],
+            [
+              0.0115264,
+              0.0129356,
+              0.0164049,
+              0.0249406,
+              0.0467151,
+              0.1028693,
+              0.2534811
+            ],
+            [
+              0.0114948,
+              0.0128714,
+              0.0163324,
+              0.0248219,
+              0.0465926,
+              0.1028322,
+              0.2534116
+            ],
+            [
+              0.011508,
+              0.012859,
+              0.0161984,
+              0.0246421,
+              0.0463932,
+              0.1031451,
+              0.2532203
+            ],
+            [
+              0.011807,
+              0.012965,
+              0.016068,
+              0.024392,
+              0.045944,
+              0.102911,
+              0.2530546
+            ],
+            [
+              0.0122605,
+              0.0133946,
+              0.0163892,
+              0.024414,
+              0.0457864,
+              0.1022836,
+              0.2515753
+            ],
+            [
+              0.0127356,
+              0.0138506,
+              0.017033,
+              0.0249683,
+              0.046283,
+              0.1027195,
+              0.2510388
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001330632,
+            0.003541162,
+            0.009423965,
+            0.02507965,
+            0.06674357,
+            0.1776222
+          ],
+          "values": [
+            [
+              0.0131685,
+              0.0124285,
+              0.0101756,
+              0.0037069,
+              -0.0157908,
+              -0.0714093,
+              -0.2221069
+            ],
+            [
+              0.0130531,
+              0.0123079,
+              0.0100401,
+              0.0036014,
+              -0.0158047,
+              -0.0715295,
+              -0.2222071
+            ],
+            [
+              0.0129586,
+              0.0122201,
+              0.009937,
+              0.0035362,
+              -0.0159329,
+              -0.0716275,
+              -0.2223277
+            ],
+            [
+              0.0128198,
+              0.0119526,
+              0.0098097,
+              0.0033853,
+              -0.0160799,
+              -0.0717303,
+              -0.2224298
+            ],
+            [
+              0.0127011,
+              0.0119806,
+              0.0097454,
+              0.0032871,
+              -0.0161308,
+              -0.0718349,
+              -0.2225379
+            ],
+            [
+              0.0127275,
+              0.0119196,
+              0.0097334,
+              0.0032448,
+              -0.0161823,
+              -0.0718645,
+              -0.2225438
+            ],
+            [
+              0.0166648,
+              0.0154916,
+              0.012472,
+              0.0044866,
+              -0.0166396,
+              -0.0719411,
+              -0.2225718
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001330632,
+            0.003541162,
+            0.009423965,
+            0.02507965,
+            0.06674357,
+            0.1776222
+          ],
+          "values": [
+            [
+              0.0116327,
+              0.0130459,
+              0.0164936,
+              0.0250725,
+              0.0466499,
+              0.1031363,
+              0.2538302
+            ],
+            [
+              0.0115802,
+              0.0129739,
+              0.0164699,
+              0.0249718,
+              0.0467917,
+              0.1031179,
+              0.2537532
+            ],
+            [
+              0.0115143,
+              0.0129156,
+              0.0163821,
+              0.0249072,
+              0.0465433,
+              0.1033242,
+              0.2526332
+            ],
+            [
+              0.0115422,
+              0.0128832,
+              0.0162928,
+              0.0247455,
+              0.0463377,
+              0.1025889,
+              0.2524308
+            ],
+            [
+              0.0118091,
+              0.0129467,
+              0.0161589,
+              0.02454,
+              0.0460859,
+              0.1029897,
+              0.2523995
+            ],
+            [
+              0.0124017,
+              0.0135434,
+              0.0165864,
+              0.0246661,
+              0.0461129,
+              0.1022467,
+              0.2532566
+            ],
+            [
+              0.0128066,
+              0.0139676,
+              0.016975,
+              0.0250626,
+              0.0465633,
+              0.1029064,
+              0.2527824
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001330632,
+            0.003541162,
+            0.009423965,
+            0.02507965,
+            0.06674357,
+            0.1776222
+          ],
+          "values": [
+            [
+              0.0094805,
+              0.0087358,
+              0.0066901,
+              0.0002383,
+              -0.0194086,
+              -0.0754382,
+              -0.2262398
+            ],
+            [
+              0.0094008,
+              0.0086308,
+              0.0064839,
+              -2.64e-05,
+              -0.0196462,
+              -0.075629,
+              -0.2263633
+            ],
+            [
+              0.0091862,
+              0.0084223,
+              0.0063276,
+              -0.000116,
+              -0.0197469,
+              -0.0757724,
+              -0.2265827
+            ],
+            [
+              0.0091257,
+              0.0083907,
+              0.0062714,
+              -0.0002343,
+              -0.0199125,
+              -0.0758788,
+              -0.2266737
+            ],
+            [
+              0.0090099,
+              0.0082836,
+              0.00616,
+              -0.000305,
+              -0.019952,
+              -0.0759569,
+              -0.2267433
+            ],
+            [
+              0.0089302,
+              0.0082164,
+              0.0060888,
+              -0.0003957,
+              -0.0201139,
+              -0.0760304,
+              -0.2267916
+            ],
+            [
+              0.0130669,
+              0.0117416,
+              0.0088459,
+              0.0008813,
+              -0.0203131,
+              -0.0761402,
+              -0.2268848
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001330632,
+            0.003541162,
+            0.009423965,
+            0.02507965,
+            0.06674357,
+            0.1776222
+          ],
+          "values": [
+            [
+              0.0103044,
+              0.011595,
+              0.0148257,
+              0.023053,
+              0.0443991,
+              0.1010637,
+              0.2511689
+            ],
+            [
+              0.0102841,
+              0.0115651,
+              0.014808,
+              0.0230013,
+              0.0444749,
+              0.1005873,
+              0.250262
+            ],
+            [
+              0.0102779,
+              0.0115481,
+              0.0147571,
+              0.0229745,
+              0.0442799,
+              0.10102,
+              0.2511858
+            ],
+            [
+              0.0102064,
+              0.0114,
+              0.014571,
+              0.0227541,
+              0.0441278,
+              0.1005126,
+              0.2510446
+            ],
+            [
+              0.0103692,
+              0.0115306,
+              0.0145195,
+              0.0226319,
+              0.0437354,
+              0.1007192,
+              0.2508916
+            ],
+            [
+              0.0104404,
+              0.0115495,
+              0.0146772,
+              0.0226321,
+              0.0437983,
+              0.0997352,
+              0.2506686
+            ],
+            [
+              0.0109093,
+              0.0121038,
+              0.0150618,
+              0.0230852,
+              0.0444141,
+              0.1006361,
+              0.2500371
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001330632,
+            0.003541162,
+            0.009423965,
+            0.02507965,
+            0.06674357,
+            0.1776222
+          ],
+          "values": [
+            [
+              0.0080187,
+              0.007237,
+              0.0051516,
+              -0.0013513,
+              -0.0209522,
+              -0.0769778,
+              -0.2277848
+            ],
+            [
+              0.0077837,
+              0.0071486,
+              0.0048907,
+              -0.0015352,
+              -0.0211202,
+              -0.0771563,
+              -0.2279507
+            ],
+            [
+              0.0076731,
+              0.0069495,
+              0.0048034,
+              -0.0017008,
+              -0.0213213,
+              -0.0772903,
+              -0.228099
+            ],
+            [
+              0.0075816,
+              0.0068269,
+              0.0047105,
+              -0.0017399,
+              -0.0213999,
+              -0.0773711,
+              -0.2282101
+            ],
+            [
+              0.0075268,
+              0.006752,
+              0.0045989,
+              -0.0018408,
+              -0.0215342,
+              -0.0774911,
+              -0.2282691
+            ],
+            [
+              0.0072617,
+              0.0065043,
+              0.0044476,
+              -0.0021069,
+              -0.0215162,
+              -0.077517,
+              -0.2283228
+            ],
+            [
+              0.0115211,
+              0.0103359,
+              0.0073039,
+              -0.0006592,
+              -0.0218473,
+              -0.0776371,
+              -0.2283684
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001330632,
+            0.003541162,
+            0.009423965,
+            0.02507965,
+            0.06674357,
+            0.1776222
+          ],
+          "values": [
+            [
+              0.0096189,
+              0.0108481,
+              0.0140052,
+              0.0221474,
+              0.043401,
+              0.0994436,
+              0.2498665
+            ],
+            [
+              0.0096481,
+              0.0108752,
+              0.014024,
+              0.022173,
+              0.0433814,
+              0.10009,
+              0.2502391
+            ],
+            [
+              0.0096614,
+              0.0108676,
+              0.0140248,
+              0.0222245,
+              0.0434238,
+              0.0997738,
+              0.2492467
+            ],
+            [
+              0.0095462,
+              0.0107396,
+              0.0137826,
+              0.0218868,
+              0.043419,
+              0.0999795,
+              0.2490575
+            ],
+            [
+              0.0095344,
+              0.0107158,
+              0.0137186,
+              0.0217039,
+              0.0430581,
+              0.0992478,
+              0.2486409
+            ],
+            [
+              0.0094976,
+              0.0106352,
+              0.0136231,
+              0.0216481,
+              0.0429375,
+              0.0986866,
+              0.2494949
+            ],
+            [
+              0.0097789,
+              0.0109002,
+              0.0139516,
+              0.022025,
+              0.0433655,
+              0.0996898,
+              0.2488248
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001330632,
+            0.003541162,
+            0.009423965,
+            0.02507965,
+            0.06674357,
+            0.1776222
+          ],
+          "values": [
+            [
+              0.0073955,
+              0.0065948,
+              0.0044413,
+              -0.0019997,
+              -0.0215802,
+              -0.0775647,
+              -0.2283854
+            ],
+            [
+              0.0071972,
+              0.0064258,
+              0.004301,
+              -0.0021751,
+              -0.0218126,
+              -0.0777864,
+              -0.2286149
+            ],
+            [
+              0.00703,
+              0.0062494,
+              0.0041518,
+              -0.0023167,
+              -0.021984,
+              -0.077958,
+              -0.2287289
+            ],
+            [
+              0.0068822,
+              0.0061267,
+              0.0039813,
+              -0.0025104,
+              -0.0221028,
+              -0.0781207,
+              -0.2289176
+            ],
+            [
+              0.0069645,
+              0.0061322,
+              0.0039712,
+              -0.0025221,
+              -0.0221978,
+              -0.0781957,
+              -0.2289515
+            ],
+            [
+              0.0074576,
+              0.0062953,
+              0.0038463,
+              -0.0025829,
+              -0.022144,
+              -0.078104,
+              -0.2288577
+            ],
+            [
+              0.0119736,
+              0.0107605,
+              0.0077056,
+              -0.0003095,
+              -0.0214073,
+              -0.0776304,
+              -0.2283276
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001330632,
+            0.003541162,
+            0.009423965,
+            0.02507965,
+            0.06674357,
+            0.1776222
+          ],
+          "values": [
+            [
+              0.0072875,
+              0.0084437,
+              0.0114652,
+              0.0194534,
+              0.0405207,
+              0.0964192,
+              0.2455421
+            ],
+            [
+              0.0072464,
+              0.0084078,
+              0.0114241,
+              0.0193578,
+              0.0405588,
+              0.0965094,
+              0.2459205
+            ],
+            [
+              0.0071191,
+              0.0082877,
+              0.0112925,
+              0.0192994,
+              0.0404221,
+              0.0964817,
+              0.2453348
+            ],
+            [
+              0.0069421,
+              0.0080936,
+              0.0111151,
+              0.0190415,
+              0.0402272,
+              0.0963207,
+              0.2469394
+            ],
+            [
+              0.006887,
+              0.0080596,
+              0.0110694,
+              0.0189315,
+              0.0399065,
+              0.0962699,
+              0.246013
+            ],
+            [
+              0.0068799,
+              0.0079954,
+              0.0111391,
+              0.0190935,
+              0.0400993,
+              0.0957478,
+              0.2456823
+            ],
+            [
+              0.0074771,
+              0.0085173,
+              0.0115898,
+              0.0196316,
+              0.0407127,
+              0.0967112,
+              0.2465381
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.177622,
+    "max_transition": 1.510239,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.2938701,
+              0.302575,
+              0.3199605,
+              0.352828,
+              0.4151101,
+              0.5399952,
+              0.8252479
+            ],
+            [
+              0.2970534,
+              0.3057387,
+              0.3233512,
+              0.3560741,
+              0.4180156,
+              0.54336,
+              0.828618
+            ],
+            [
+              0.3085333,
+              0.3171356,
+              0.3346412,
+              0.3671246,
+              0.4294291,
+              0.5546238,
+              0.8393386
+            ],
+            [
+              0.3400217,
+              0.3486618,
+              0.3662851,
+              0.3996576,
+              0.4607059,
+              0.5861991,
+              0.8712452
+            ],
+            [
+              0.4100188,
+              0.418653,
+              0.4362032,
+              0.4692704,
+              0.5307492,
+              0.6562712,
+              0.9412402
+            ],
+            [
+              0.5542865,
+              0.5629099,
+              0.5807465,
+              0.6134906,
+              0.6760155,
+              0.8011577,
+              1.086098
+            ],
+            [
+              0.8253072,
+              0.8350314,
+              0.8543213,
+              0.8907072,
+              0.9570101,
+              1.0871226,
+              1.3761303
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0639487,
+              0.0707114,
+              0.0865663,
+              0.1246108,
+              0.2200813,
+              0.4678494,
+              1.1236437
+            ],
+            [
+              0.068085,
+              0.0748192,
+              0.0905996,
+              0.1285887,
+              0.2242199,
+              0.4715456,
+              1.1274988
+            ],
+            [
+              0.0781699,
+              0.0848581,
+              0.1004658,
+              0.1382347,
+              0.2338001,
+              0.4811228,
+              1.1372085
+            ],
+            [
+              0.0998992,
+              0.1065096,
+              0.1219161,
+              0.1589916,
+              0.2543356,
+              0.5011379,
+              1.1576282
+            ],
+            [
+              0.1290769,
+              0.136033,
+              0.1517333,
+              0.1886703,
+              0.2835585,
+              0.5312061,
+              1.1872222
+            ],
+            [
+              0.1573613,
+              0.1660612,
+              0.1824705,
+              0.2200921,
+              0.3143998,
+              0.5619181,
+              1.2184931
+            ],
+            [
+              0.153144,
+              0.1640616,
+              0.1857446,
+              0.2269109,
+              0.3199811,
+              0.5666187,
+              1.2217583
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0365351,
+              0.0420126,
+              0.0538349,
+              0.0785483,
+              0.1327988,
+              0.2591999,
+              0.6075847
+            ],
+            [
+              0.0364492,
+              0.042073,
+              0.0537759,
+              0.078844,
+              0.1329842,
+              0.2597269,
+              0.6030865
+            ],
+            [
+              0.0364853,
+              0.0420349,
+              0.0536382,
+              0.0780874,
+              0.132685,
+              0.2596826,
+              0.6034908
+            ],
+            [
+              0.0363647,
+              0.0417626,
+              0.0535657,
+              0.0779454,
+              0.1317417,
+              0.2608707,
+              0.6041834
+            ],
+            [
+              0.0363191,
+              0.0419797,
+              0.0535844,
+              0.0776514,
+              0.1317124,
+              0.2603971,
+              0.6039059
+            ],
+            [
+              0.0377039,
+              0.0429275,
+              0.0546028,
+              0.0796145,
+              0.1320806,
+              0.2601213,
+              0.6080565
+            ],
+            [
+              0.0434493,
+              0.0493011,
+              0.0620858,
+              0.0870538,
+              0.1429952,
+              0.2705442,
+              0.611329
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0205795,
+              0.0275053,
+              0.0457029,
+              0.0943791,
+              0.2247989,
+              0.5707478,
+              1.4967658
+            ],
+            [
+              0.0205364,
+              0.0274139,
+              0.0456307,
+              0.0943932,
+              0.2245401,
+              0.5716166,
+              1.5010952
+            ],
+            [
+              0.0202967,
+              0.0272008,
+              0.0453547,
+              0.0942528,
+              0.2243894,
+              0.5712437,
+              1.4995926
+            ],
+            [
+              0.0207932,
+              0.0274218,
+              0.0453128,
+              0.093535,
+              0.2245744,
+              0.5720822,
+              1.5016614
+            ],
+            [
+              0.023789,
+              0.0297544,
+              0.0464702,
+              0.0939114,
+              0.2235119,
+              0.5722191,
+              1.5019872
+            ],
+            [
+              0.0303386,
+              0.0363649,
+              0.0516368,
+              0.0953658,
+              0.2246637,
+              0.5708476,
+              1.5027951
+            ],
+            [
+              0.0422169,
+              0.048993,
+              0.0636135,
+              0.1023957,
+              0.2250119,
+              0.5755435,
+              1.4962466
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.332508,
+              0.3420324,
+              0.3609328,
+              0.3955718,
+              0.4593015,
+              0.5863525,
+              0.8728992
+            ],
+            [
+              0.3371064,
+              0.3466433,
+              0.3655803,
+              0.4002904,
+              0.4641131,
+              0.5902775,
+              0.8767269
+            ],
+            [
+              0.3499381,
+              0.3593924,
+              0.3783623,
+              0.4128127,
+              0.4765112,
+              0.6036138,
+              0.8902682
+            ],
+            [
+              0.3805226,
+              0.390033,
+              0.4089489,
+              0.4431126,
+              0.506919,
+              0.6340543,
+              0.9205206
+            ],
+            [
+              0.4452978,
+              0.454803,
+              0.4737037,
+              0.508379,
+              0.5719948,
+              0.6983848,
+              0.9850565
+            ],
+            [
+              0.5781495,
+              0.5877254,
+              0.6067788,
+              0.6415162,
+              0.7054003,
+              0.8325989,
+              1.119142
+            ],
+            [
+              0.8277365,
+              0.8383166,
+              0.85904,
+              0.8963363,
+              0.9635914,
+              1.0945072,
+              1.3838167
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0673789,
+              0.0741614,
+              0.0899009,
+              0.1280119,
+              0.2232997,
+              0.4713209,
+              1.1260276
+            ],
+            [
+              0.0718541,
+              0.0785911,
+              0.0943936,
+              0.1322887,
+              0.2277991,
+              0.4755512,
+              1.1312372
+            ],
+            [
+              0.0820633,
+              0.0887505,
+              0.1044372,
+              0.1422927,
+              0.2377354,
+              0.4855345,
+              1.1385738
+            ],
+            [
+              0.1038,
+              0.1103825,
+              0.1258095,
+              0.1631467,
+              0.2581567,
+              0.5063449,
+              1.1590052
+            ],
+            [
+              0.1374127,
+              0.144396,
+              0.1602685,
+              0.1975118,
+              0.2921257,
+              0.5404389,
+              1.1944175
+            ],
+            [
+              0.1766483,
+              0.1851248,
+              0.2023599,
+              0.2400966,
+              0.3346661,
+              0.5816899,
+              1.2386028
+            ],
+            [
+              0.1979182,
+              0.2088694,
+              0.2306051,
+              0.2715722,
+              0.3657394,
+              0.6131299,
+              1.2665481
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0412893,
+              0.0471038,
+              0.0583065,
+              0.0824847,
+              0.1351501,
+              0.2636125,
+              0.6099893
+            ],
+            [
+              0.0412281,
+              0.0471047,
+              0.0580238,
+              0.0825101,
+              0.1363022,
+              0.2645705,
+              0.6080808
+            ],
+            [
+              0.0413987,
+              0.0471146,
+              0.0580762,
+              0.082983,
+              0.1361658,
+              0.264311,
+              0.6059994
+            ],
+            [
+              0.0413914,
+              0.0466276,
+              0.0582151,
+              0.0827369,
+              0.1366119,
+              0.2648189,
+              0.6086887
+            ],
+            [
+              0.0411373,
+              0.0469772,
+              0.0580543,
+              0.0823982,
+              0.1362881,
+              0.2645136,
+              0.6062056
+            ],
+            [
+              0.042369,
+              0.0477814,
+              0.0591003,
+              0.0824879,
+              0.1358999,
+              0.2637816,
+              0.6099344
+            ],
+            [
+              0.0473731,
+              0.053461,
+              0.0658148,
+              0.0895273,
+              0.1434693,
+              0.2720747,
+              0.6137628
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0206069,
+              0.0274525,
+              0.0456435,
+              0.0944951,
+              0.2246525,
+              0.5729398,
+              1.5008506
+            ],
+            [
+              0.0204672,
+              0.0273513,
+              0.045641,
+              0.0944512,
+              0.2247254,
+              0.5713265,
+              1.4980082
+            ],
+            [
+              0.0203723,
+              0.0272057,
+              0.0453321,
+              0.0943264,
+              0.2246488,
+              0.5719467,
+              1.4972018
+            ],
+            [
+              0.020598,
+              0.0272799,
+              0.0450441,
+              0.0935412,
+              0.2238559,
+              0.5726556,
+              1.4962059
+            ],
+            [
+              0.0236307,
+              0.0297322,
+              0.0463905,
+              0.0936534,
+              0.2239798,
+              0.5714513,
+              1.5029196
+            ],
+            [
+              0.0296209,
+              0.035733,
+              0.0507836,
+              0.0951666,
+              0.2246692,
+              0.5704319,
+              1.4992159
+            ],
+            [
+              0.0408683,
+              0.0471462,
+              0.0621007,
+              0.101696,
+              0.2252152,
+              0.5740374,
+              1.4966601
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.2338134,
+              0.2419845,
+              0.2582116,
+              0.2892712,
+              0.3484109,
+              0.4687908,
+              0.7507969
+            ],
+            [
+              0.2369557,
+              0.2450998,
+              0.2615697,
+              0.2927717,
+              0.3510111,
+              0.4716367,
+              0.7542246
+            ],
+            [
+              0.2495344,
+              0.2576764,
+              0.2741558,
+              0.3048948,
+              0.3639326,
+              0.4844514,
+              0.7658444
+            ],
+            [
+              0.2805171,
+              0.2885888,
+              0.3050522,
+              0.3362217,
+              0.3950572,
+              0.5152019,
+              0.7970091
+            ],
+            [
+              0.3490192,
+              0.3570901,
+              0.3735293,
+              0.40437,
+              0.4634975,
+              0.5841552,
+              0.8654513
+            ],
+            [
+              0.49421,
+              0.5027254,
+              0.5199386,
+              0.5520808,
+              0.6115174,
+              0.7330426,
+              1.0151966
+            ],
+            [
+              0.7716095,
+              0.7811198,
+              0.801078,
+              0.8375221,
+              0.902648,
+              1.0306088,
+              1.3158642
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0494136,
+              0.0552487,
+              0.0696067,
+              0.1058192,
+              0.1990399,
+              0.4455439,
+              1.1008428
+            ],
+            [
+              0.0538284,
+              0.0596694,
+              0.074036,
+              0.110134,
+              0.2036871,
+              0.4496308,
+              1.1016978
+            ],
+            [
+              0.0643482,
+              0.070077,
+              0.0842827,
+              0.1201793,
+              0.2134386,
+              0.4603803,
+              1.1156206
+            ],
+            [
+              0.0831483,
+              0.0888509,
+              0.103035,
+              0.1387852,
+              0.232123,
+              0.4779649,
+              1.1317588
+            ],
+            [
+              0.1046665,
+              0.1109367,
+              0.1253604,
+              0.1609791,
+              0.2540359,
+              0.5013047,
+              1.1565757
+            ],
+            [
+              0.1182717,
+              0.1261261,
+              0.1424325,
+              0.1783817,
+              0.2710185,
+              0.5171527,
+              1.1727533
+            ],
+            [
+              0.0905667,
+              0.1011357,
+              0.1223747,
+              0.162188,
+              0.2550634,
+              0.5014793,
+              1.1561505
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0330136,
+              0.0384564,
+              0.049578,
+              0.0734829,
+              0.1253373,
+              0.2518367,
+              0.599449
+            ],
+            [
+              0.0331814,
+              0.0384295,
+              0.0494521,
+              0.0724611,
+              0.1247023,
+              0.252615,
+              0.5997514
+            ],
+            [
+              0.0331821,
+              0.0384735,
+              0.0493974,
+              0.0736067,
+              0.1252624,
+              0.2518596,
+              0.6006889
+            ],
+            [
+              0.03318,
+              0.0384288,
+              0.0494319,
+              0.072522,
+              0.1252686,
+              0.2525284,
+              0.5983279
+            ],
+            [
+              0.0330637,
+              0.0384451,
+              0.0496264,
+              0.0732902,
+              0.1252601,
+              0.252222,
+              0.6031924
+            ],
+            [
+              0.0362795,
+              0.0415762,
+              0.0525797,
+              0.0754096,
+              0.1267216,
+              0.2534233,
+              0.603184
+            ],
+            [
+              0.0442494,
+              0.0499779,
+              0.0622872,
+              0.0874763,
+              0.1396431,
+              0.2648644,
+              0.6060052
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.017098,
+              0.0238068,
+              0.0419235,
+              0.0910411,
+              0.2213942,
+              0.5708131,
+              1.5003491
+            ],
+            [
+              0.0170715,
+              0.023745,
+              0.0419635,
+              0.0909349,
+              0.2214677,
+              0.5715085,
+              1.5003144
+            ],
+            [
+              0.0169474,
+              0.0236235,
+              0.041782,
+              0.0908794,
+              0.2212249,
+              0.5708697,
+              1.5001501
+            ],
+            [
+              0.0180145,
+              0.0244063,
+              0.0419603,
+              0.0906287,
+              0.2219991,
+              0.5696502,
+              1.4962935
+            ],
+            [
+              0.0213638,
+              0.0269886,
+              0.0432382,
+              0.0912537,
+              0.2209168,
+              0.5709624,
+              1.4991813
+            ],
+            [
+              0.0287767,
+              0.034091,
+              0.0484852,
+              0.0924636,
+              0.2223502,
+              0.5686401,
+              1.4996666
+            ],
+            [
+              0.0406081,
+              0.0474008,
+              0.0614725,
+              0.1001125,
+              0.2233801,
+              0.5729703,
+              1.4952601
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.2097823,
+              0.217866,
+              0.234349,
+              0.2656282,
+              0.3243726,
+              0.4445929,
+              0.7265629
+            ],
+            [
+              0.2124513,
+              0.2205042,
+              0.2370839,
+              0.2682617,
+              0.3272039,
+              0.447109,
+              0.7289347
+            ],
+            [
+              0.223632,
+              0.2316542,
+              0.2481196,
+              0.2793955,
+              0.337515,
+              0.458428,
+              0.7399009
+            ],
+            [
+              0.2534105,
+              0.2615056,
+              0.277971,
+              0.3091152,
+              0.3675525,
+              0.4882122,
+              0.769543
+            ],
+            [
+              0.3234925,
+              0.3315542,
+              0.3481335,
+              0.3790886,
+              0.438139,
+              0.5588396,
+              0.8401764
+            ],
+            [
+              0.4749683,
+              0.4836242,
+              0.5011345,
+              0.5337468,
+              0.5939903,
+              0.7156254,
+              0.9973842
+            ],
+            [
+              0.7540545,
+              0.7646162,
+              0.7857319,
+              0.8238976,
+              0.8915063,
+              1.0165011,
+              1.3021773
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0473526,
+              0.0529422,
+              0.0669198,
+              0.1025364,
+              0.1955326,
+              0.4415822,
+              1.0960666
+            ],
+            [
+              0.051756,
+              0.057357,
+              0.0713136,
+              0.1068721,
+              0.2000217,
+              0.4465205,
+              1.0983821
+            ],
+            [
+              0.0619227,
+              0.0674483,
+              0.0812704,
+              0.1169734,
+              0.2099412,
+              0.4557768,
+              1.1082508
+            ],
+            [
+              0.0789604,
+              0.0846115,
+              0.0983758,
+              0.1339435,
+              0.2269508,
+              0.4730847,
+              1.1265306
+            ],
+            [
+              0.0974481,
+              0.1037399,
+              0.1178866,
+              0.1530851,
+              0.2461295,
+              0.4926519,
+              1.1461092
+            ],
+            [
+              0.1056225,
+              0.1137894,
+              0.1297136,
+              0.1656356,
+              0.2585576,
+              0.5042223,
+              1.1597153
+            ],
+            [
+              0.0682197,
+              0.0790391,
+              0.1007769,
+              0.1414271,
+              0.2339013,
+              0.4802602,
+              1.1330734
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0332016,
+              0.0386451,
+              0.0498051,
+              0.0725695,
+              0.1255214,
+              0.2514811,
+              0.5989971
+            ],
+            [
+              0.0330362,
+              0.0386755,
+              0.0491621,
+              0.0723614,
+              0.1253517,
+              0.2512538,
+              0.5984149
+            ],
+            [
+              0.0331035,
+              0.0382502,
+              0.04944,
+              0.0726675,
+              0.124676,
+              0.2514262,
+              0.5984891
+            ],
+            [
+              0.0332202,
+              0.0384092,
+              0.0495855,
+              0.0730148,
+              0.1257548,
+              0.2526438,
+              0.598489
+            ],
+            [
+              0.0333456,
+              0.0385468,
+              0.0497378,
+              0.0725473,
+              0.124573,
+              0.2519863,
+              0.5992565
+            ],
+            [
+              0.0373102,
+              0.0427015,
+              0.0534974,
+              0.0765457,
+              0.1289654,
+              0.2542067,
+              0.5996087
+            ],
+            [
+              0.0503357,
+              0.0560333,
+              0.0682603,
+              0.0928265,
+              0.1447272,
+              0.2680057,
+              0.6076582
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0161832,
+              0.0228097,
+              0.0409434,
+              0.0902159,
+              0.2217189,
+              0.5715028,
+              1.5008327
+            ],
+            [
+              0.0162134,
+              0.0228306,
+              0.0408216,
+              0.0899077,
+              0.2216789,
+              0.5695724,
+              1.5015084
+            ],
+            [
+              0.0162431,
+              0.0228383,
+              0.040867,
+              0.0900647,
+              0.2210483,
+              0.571232,
+              1.5034252
+            ],
+            [
+              0.0175458,
+              0.0237824,
+              0.0412691,
+              0.0901676,
+              0.2215416,
+              0.5701278,
+              1.4984566
+            ],
+            [
+              0.0213129,
+              0.0267865,
+              0.0428129,
+              0.0907163,
+              0.2207175,
+              0.5716262,
+              1.5029569
+            ],
+            [
+              0.0288561,
+              0.034293,
+              0.0486741,
+              0.0921573,
+              0.2228116,
+              0.5674713,
+              1.4995146
+            ],
+            [
+              0.0415248,
+              0.0488463,
+              0.0630262,
+              0.1007565,
+              0.2232211,
+              0.5735552,
+              1.4899907
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.1704973,
+              0.1786023,
+              0.1951351,
+              0.2263567,
+              0.2850552,
+              0.405677,
+              0.6870821
+            ],
+            [
+              0.1715977,
+              0.1796706,
+              0.196285,
+              0.2274081,
+              0.2861016,
+              0.4067036,
+              0.6881367
+            ],
+            [
+              0.1812234,
+              0.189299,
+              0.205793,
+              0.2366711,
+              0.295634,
+              0.4163843,
+              0.6982644
+            ],
+            [
+              0.2078907,
+              0.2158898,
+              0.2324101,
+              0.2635366,
+              0.3224917,
+              0.442938,
+              0.7246177
+            ],
+            [
+              0.275662,
+              0.2836556,
+              0.2999708,
+              0.3308141,
+              0.3896173,
+              0.5101486,
+              0.7918219
+            ],
+            [
+              0.4197429,
+              0.428908,
+              0.4471734,
+              0.480241,
+              0.5392711,
+              0.6607375,
+              0.9423536
+            ],
+            [
+              0.6644087,
+              0.6757117,
+              0.6992318,
+              0.7404883,
+              0.8095689,
+              0.9350059,
+              1.2205162
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.0425114,
+              0.0478926,
+              0.0613994,
+              0.0960722,
+              0.1880208,
+              0.4337499,
+              1.0854043
+            ],
+            [
+              0.046943,
+              0.0523096,
+              0.0657831,
+              0.1006261,
+              0.1926191,
+              0.438385,
+              1.0949551
+            ],
+            [
+              0.0568041,
+              0.0621653,
+              0.0756167,
+              0.1106405,
+              0.2029371,
+              0.4479376,
+              1.1140153
+            ],
+            [
+              0.0712009,
+              0.0767953,
+              0.0904838,
+              0.1255007,
+              0.2191466,
+              0.4636406,
+              1.1263557
+            ],
+            [
+              0.0856497,
+              0.0920315,
+              0.1062271,
+              0.1412119,
+              0.2336907,
+              0.4793017,
+              1.1343108
+            ],
+            [
+              0.0869622,
+              0.0953002,
+              0.1122742,
+              0.1477665,
+              0.2401668,
+              0.4869552,
+              1.1388425
+            ],
+            [
+              0.0400804,
+              0.051528,
+              0.0745475,
+              0.1161288,
+              0.2078956,
+              0.4542736,
+              1.1072629
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.03314,
+              0.0384939,
+              0.0491183,
+              0.0732404,
+              0.1256764,
+              0.2521677,
+              0.6030115
+            ],
+            [
+              0.0332014,
+              0.0382503,
+              0.0494585,
+              0.0723468,
+              0.1256994,
+              0.2521997,
+              0.6031577
+            ],
+            [
+              0.033108,
+              0.0381088,
+              0.0493783,
+              0.0726258,
+              0.1249831,
+              0.2522693,
+              0.5992311
+            ],
+            [
+              0.0331119,
+              0.0385352,
+              0.0496731,
+              0.072537,
+              0.1254696,
+              0.2523574,
+              0.6017077
+            ],
+            [
+              0.0326007,
+              0.03803,
+              0.0491803,
+              0.0728074,
+              0.1246364,
+              0.2522703,
+              0.6034553
+            ],
+            [
+              0.0409052,
+              0.0458439,
+              0.0567731,
+              0.0786467,
+              0.129578,
+              0.2543728,
+              0.5991379
+            ],
+            [
+              0.0577282,
+              0.0638707,
+              0.077175,
+              0.1010245,
+              0.1492586,
+              0.2655713,
+              0.608253
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133063,
+            0.00354116,
+            0.00942396,
+            0.0250797,
+            0.0667436,
+            0.177622
+          ],
+          "values": [
+            [
+              0.015717,
+              0.0223708,
+              0.0406222,
+              0.090002,
+              0.2212851,
+              0.5731213,
+              1.4958724
+            ],
+            [
+              0.0156987,
+              0.0223455,
+              0.0405197,
+              0.0901411,
+              0.2211587,
+              0.5726378,
+              1.5102388
+            ],
+            [
+              0.0159347,
+              0.0224736,
+              0.0406395,
+              0.0899965,
+              0.2213698,
+              0.5708414,
+              1.5084731
+            ],
+            [
+              0.0176703,
+              0.0237748,
+              0.0413362,
+              0.0898276,
+              0.2210787,
+              0.5730069,
+              1.5065122
+            ],
+            [
+              0.0217472,
+              0.0273326,
+              0.0429301,
+              0.0906217,
+              0.2203527,
+              0.5713672,
+              1.5079181
+            ],
+            [
+              0.030669,
+              0.0360046,
+              0.0499252,
+              0.0923247,
+              0.2227297,
+              0.5675783,
+              1.502328
+            ],
+            [
+              0.0448803,
+              0.0520777,
+              0.0664352,
+              0.10328,
+              0.2231187,
+              0.5748276,
+              1.4923781
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v76.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v76.lib.json
new file mode 100644
index 0000000..4d87108
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v76.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 0.001119943,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 9.7617667e-05,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0001254,
+      "when": "A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0017897,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0018867,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.0001256,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0017878,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 9.8052175e-05,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0001254,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0017897,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0019075,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.0001256,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0017878,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 9.8052175e-05,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0001254,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0017897,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0019015,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.0001256,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0017878,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 9.8052175e-05,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0001254,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0017887,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0001362,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.000125,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0017878,
+      "when": "A1&A2&B1&!C1&D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.002355,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002252,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0041853,
+          0.0041821,
+          0.0041746,
+          0.0041762,
+          0.0041801,
+          0.0041888,
+          0.0042091
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0033386,
+          -0.003344,
+          -0.0033562,
+          -0.0033511,
+          -0.0033394,
+          -0.0033123,
+          -0.0032499
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002458
+  },
+  "pin A2": {
+    "capacitance": 0.002215,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002115,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0035084,
+          0.0035044,
+          0.0034951,
+          0.0034965,
+          0.0034999,
+          0.0035077,
+          0.0035256
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0035047,
+          -0.0035032,
+          -0.0034998,
+          -0.0035001,
+          -0.003501,
+          -0.0035028,
+          -0.0035071
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002314
+  },
+  "pin B1": {
+    "capacitance": 0.002372,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002237,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0036412,
+          0.003639,
+          0.003634,
+          0.0036343,
+          0.0036351,
+          0.003637,
+          0.0036413
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0031392,
+          -0.003176,
+          -0.0032608,
+          -0.0032727,
+          -0.0032999,
+          -0.0033627,
+          -0.0035074
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002506
+  },
+  "pin C1": {
+    "capacitance": 0.002328,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002175,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0033807,
+          0.0033844,
+          0.0033931,
+          0.0033907,
+          0.0033852,
+          0.0033725,
+          0.0033433
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0030905,
+          -0.0031436,
+          -0.003266,
+          -0.0032696,
+          -0.0032779,
+          -0.003297,
+          -0.0033411
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002481
+  },
+  "pin D1": {
+    "capacitance": 0.002324,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002121,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0023425,
+          0.0023389,
+          0.0023307,
+          0.0023364,
+          0.0023495,
+          0.0023796,
+          0.0024489
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0015989,
+          -0.0016014,
+          -0.0016073,
+          -0.0016092,
+          -0.0016134,
+          -0.0016232,
+          -0.0016457
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002526
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.003681542,
+            0.009989868,
+            0.02710751,
+            0.07355624,
+            0.1995949
+          ],
+          "values": [
+            [
+              0.0125694,
+              0.0115502,
+              0.0090238,
+              0.0012043,
+              -0.0232791,
+              -0.0942893,
+              -0.2893591
+            ],
+            [
+              0.0124122,
+              0.0114474,
+              0.0087725,
+              0.0009492,
+              -0.023473,
+              -0.0945419,
+              -0.289605
+            ],
+            [
+              0.0121742,
+              0.0111725,
+              0.0085867,
+              0.000761,
+              -0.0237363,
+              -0.0947586,
+              -0.2898573
+            ],
+            [
+              0.0119704,
+              0.0110073,
+              0.0083579,
+              0.0005525,
+              -0.0239387,
+              -0.0949348,
+              -0.290052
+            ],
+            [
+              0.011812,
+              0.0108266,
+              0.0082524,
+              0.0003573,
+              -0.0240819,
+              -0.0950993,
+              -0.2901476
+            ],
+            [
+              0.0116298,
+              0.0106224,
+              0.0081975,
+              0.0002815,
+              -0.0241809,
+              -0.0951465,
+              -0.2901846
+            ],
+            [
+              0.0172159,
+              0.0157623,
+              0.0120575,
+              0.0022951,
+              -0.0239748,
+              -0.0952549,
+              -0.2902739
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.003681542,
+            0.009989868,
+            0.02710751,
+            0.07355624,
+            0.1995949
+          ],
+          "values": [
+            [
+              0.0134229,
+              0.0150726,
+              0.0192599,
+              0.0296717,
+              0.0566653,
+              0.1277825,
+              0.3211863
+            ],
+            [
+              0.0133408,
+              0.0149896,
+              0.0191696,
+              0.029575,
+              0.0566187,
+              0.1282995,
+              0.3214789
+            ],
+            [
+              0.0133103,
+              0.014943,
+              0.0190628,
+              0.0294373,
+              0.056264,
+              0.1282953,
+              0.3225281
+            ],
+            [
+              0.0133136,
+              0.0149259,
+              0.018939,
+              0.0291562,
+              0.0560136,
+              0.1280164,
+              0.3211398
+            ],
+            [
+              0.0138382,
+              0.0151865,
+              0.0187049,
+              0.0288087,
+              0.0556987,
+              0.1272873,
+              0.3220381
+            ],
+            [
+              0.014784,
+              0.0161235,
+              0.019552,
+              0.0292562,
+              0.0555272,
+              0.1267529,
+              0.3216542
+            ],
+            [
+              0.0161062,
+              0.0173651,
+              0.0209255,
+              0.0306196,
+              0.0566383,
+              0.1277979,
+              0.3217174
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.003681542,
+            0.009989868,
+            0.02710751,
+            0.07355624,
+            0.1995949
+          ],
+          "values": [
+            [
+              0.0154493,
+              0.0144545,
+              0.0118266,
+              0.0039,
+              -0.0204222,
+              -0.0913224,
+              -0.2863205
+            ],
+            [
+              0.0153582,
+              0.0143756,
+              0.0117199,
+              0.0037753,
+              -0.0205343,
+              -0.0914476,
+              -0.2864493
+            ],
+            [
+              0.015134,
+              0.014129,
+              0.0114269,
+              0.0036626,
+              -0.0207158,
+              -0.091584,
+              -0.2866046
+            ],
+            [
+              0.0151043,
+              0.0141179,
+              0.0114179,
+              0.0034128,
+              -0.0208455,
+              -0.0916933,
+              -0.286711
+            ],
+            [
+              0.014908,
+              0.0139068,
+              0.0112314,
+              0.0034165,
+              -0.0209688,
+              -0.0918356,
+              -0.2868127
+            ],
+            [
+              0.0148388,
+              0.0138322,
+              0.0112056,
+              0.0033081,
+              -0.0210128,
+              -0.091871,
+              -0.2868507
+            ],
+            [
+              0.0207943,
+              0.0193079,
+              0.0155226,
+              0.0057006,
+              -0.0207772,
+              -0.0919604,
+              -0.2869178
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.003681542,
+            0.009989868,
+            0.02710751,
+            0.07355624,
+            0.1995949
+          ],
+          "values": [
+            [
+              0.0135227,
+              0.0151866,
+              0.0193612,
+              0.0297665,
+              0.0568073,
+              0.1284953,
+              0.3216536
+            ],
+            [
+              0.0134312,
+              0.0150797,
+              0.0192427,
+              0.0296432,
+              0.0566429,
+              0.1284777,
+              0.3212745
+            ],
+            [
+              0.013374,
+              0.0149988,
+              0.0191566,
+              0.0295044,
+              0.0565196,
+              0.1277781,
+              0.3223801
+            ],
+            [
+              0.0134454,
+              0.0150171,
+              0.0190817,
+              0.0293175,
+              0.0560451,
+              0.1276399,
+              0.3220598
+            ],
+            [
+              0.0141231,
+              0.015477,
+              0.019071,
+              0.0292041,
+              0.0561038,
+              0.1274756,
+              0.3219728
+            ],
+            [
+              0.0147193,
+              0.0160668,
+              0.0196107,
+              0.0293293,
+              0.0559486,
+              0.1269765,
+              0.3218453
+            ],
+            [
+              0.0158519,
+              0.0170971,
+              0.0206733,
+              0.0304316,
+              0.0570566,
+              0.1283954,
+              0.3215447
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.003681542,
+            0.009989868,
+            0.02710751,
+            0.07355624,
+            0.1995949
+          ],
+          "values": [
+            [
+              0.0110583,
+              0.0101077,
+              0.0075627,
+              -0.0003394,
+              -0.0249179,
+              -0.0961504,
+              -0.2912667
+            ],
+            [
+              0.0108746,
+              0.0099081,
+              0.0073833,
+              -0.0004917,
+              -0.0251358,
+              -0.0963551,
+              -0.2914665
+            ],
+            [
+              0.0106779,
+              0.0097455,
+              0.0072467,
+              -0.0006644,
+              -0.025264,
+              -0.0965255,
+              -0.2916359
+            ],
+            [
+              0.0105722,
+              0.0096306,
+              0.0071197,
+              -0.0007629,
+              -0.025425,
+              -0.0966388,
+              -0.2917463
+            ],
+            [
+              0.0104891,
+              0.0095524,
+              0.0070073,
+              -0.0008955,
+              -0.0255463,
+              -0.0967322,
+              -0.2918081
+            ],
+            [
+              0.0109976,
+              0.0096024,
+              0.0064988,
+              -0.0011139,
+              -0.0256356,
+              -0.0968119,
+              -0.2918678
+            ],
+            [
+              0.0158613,
+              0.0144011,
+              0.0106496,
+              0.000883,
+              -0.0252925,
+              -0.0968677,
+              -0.2918798
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.003681542,
+            0.009989868,
+            0.02710751,
+            0.07355624,
+            0.1995949
+          ],
+          "values": [
+            [
+              0.0118894,
+              0.0133889,
+              0.0172676,
+              0.0272825,
+              0.0536817,
+              0.1255462,
+              0.3181491
+            ],
+            [
+              0.011877,
+              0.0133533,
+              0.0172165,
+              0.0272193,
+              0.0538876,
+              0.1250017,
+              0.318449
+            ],
+            [
+              0.0118742,
+              0.0133467,
+              0.0171785,
+              0.0271621,
+              0.0536966,
+              0.1255679,
+              0.319603
+            ],
+            [
+              0.0117853,
+              0.0132256,
+              0.0169212,
+              0.0268911,
+              0.0534349,
+              0.1248035,
+              0.3195433
+            ],
+            [
+              0.0120439,
+              0.013393,
+              0.0170513,
+              0.02676,
+              0.0532836,
+              0.1246072,
+              0.3194137
+            ],
+            [
+              0.0123573,
+              0.0137043,
+              0.0173072,
+              0.0270409,
+              0.05324,
+              0.1248951,
+              0.3189049
+            ],
+            [
+              0.0137746,
+              0.0152396,
+              0.0187892,
+              0.0284791,
+              0.0548043,
+              0.1261418,
+              0.3171682
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.003681542,
+            0.009989868,
+            0.02710751,
+            0.07355624,
+            0.1995949
+          ],
+          "values": [
+            [
+              0.0092377,
+              0.0081652,
+              0.0057491,
+              -0.0020819,
+              -0.0267253,
+              -0.0979551,
+              -0.2930544
+            ],
+            [
+              0.0090197,
+              0.0080912,
+              0.0055453,
+              -0.0022577,
+              -0.0269389,
+              -0.098174,
+              -0.2932612
+            ],
+            [
+              0.0088801,
+              0.007931,
+              0.0053792,
+              -0.0024641,
+              -0.0271178,
+              -0.0983325,
+              -0.2934401
+            ],
+            [
+              0.0087501,
+              0.0078021,
+              0.0052777,
+              -0.0026082,
+              -0.027267,
+              -0.0984594,
+              -0.2935613
+            ],
+            [
+              0.0087369,
+              0.0077728,
+              0.0051284,
+              -0.0027368,
+              -0.0273355,
+              -0.098567,
+              -0.2936321
+            ],
+            [
+              0.0096803,
+              0.0082808,
+              0.0046169,
+              -0.0028254,
+              -0.0273687,
+              -0.0985945,
+              -0.2936717
+            ],
+            [
+              0.0146439,
+              0.0131508,
+              0.0093461,
+              -0.0005045,
+              -0.0269876,
+              -0.0983861,
+              -0.2933389
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.003681542,
+            0.009989868,
+            0.02710751,
+            0.07355624,
+            0.1995949
+          ],
+          "values": [
+            [
+              0.0110346,
+              0.012471,
+              0.0162603,
+              0.0261811,
+              0.0526057,
+              0.1239856,
+              0.3172
+            ],
+            [
+              0.0110741,
+              0.0125117,
+              0.016282,
+              0.0261914,
+              0.0527764,
+              0.1244674,
+              0.3185702
+            ],
+            [
+              0.0111154,
+              0.0125298,
+              0.0162157,
+              0.0261638,
+              0.0526689,
+              0.1239749,
+              0.317085
+            ],
+            [
+              0.0109797,
+              0.0123773,
+              0.0160146,
+              0.0258682,
+              0.0524081,
+              0.1237254,
+              0.3167188
+            ],
+            [
+              0.0110854,
+              0.0124363,
+              0.0160147,
+              0.025724,
+              0.0522477,
+              0.1240039,
+              0.3177949
+            ],
+            [
+              0.0113033,
+              0.0126701,
+              0.0162917,
+              0.0259213,
+              0.0523559,
+              0.1229153,
+              0.3180015
+            ],
+            [
+              0.0126058,
+              0.0139018,
+              0.0175304,
+              0.0271308,
+              0.0535774,
+              0.1245424,
+              0.3184997
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.003681542,
+            0.009989868,
+            0.02710751,
+            0.07355624,
+            0.1995949
+          ],
+          "values": [
+            [
+              0.0084142,
+              0.0074627,
+              0.005019,
+              -0.0028742,
+              -0.0274623,
+              -0.0987344,
+              -0.2938244
+            ],
+            [
+              0.0082139,
+              0.0072523,
+              0.0047282,
+              -0.0031402,
+              -0.027731,
+              -0.0989973,
+              -0.2941248
+            ],
+            [
+              0.0080462,
+              0.0071085,
+              0.0045758,
+              -0.0033524,
+              -0.027921,
+              -0.0991573,
+              -0.2942382
+            ],
+            [
+              0.0078614,
+              0.0069418,
+              0.0044019,
+              -0.003535,
+              -0.0281009,
+              -0.0993128,
+              -0.294396
+            ],
+            [
+              0.0081355,
+              0.0071972,
+              0.0044814,
+              -0.0034757,
+              -0.0280889,
+              -0.0992848,
+              -0.2943252
+            ],
+            [
+              0.0111088,
+              0.0096505,
+              0.0059268,
+              -0.0033543,
+              -0.027691,
+              -0.0988518,
+              -0.2938483
+            ],
+            [
+              0.0158814,
+              0.0145977,
+              0.0103777,
+              0.0006853,
+              -0.0258592,
+              -0.0974891,
+              -0.292311
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.003681542,
+            0.009989868,
+            0.02710751,
+            0.07355624,
+            0.1995949
+          ],
+          "values": [
+            [
+              0.0082429,
+              0.009597,
+              0.0132011,
+              0.0228235,
+              0.0488738,
+              0.1192738,
+              0.3130526
+            ],
+            [
+              0.0081873,
+              0.0095402,
+              0.0131529,
+              0.0228124,
+              0.0491103,
+              0.1201488,
+              0.3136836
+            ],
+            [
+              0.0081052,
+              0.0094542,
+              0.0130765,
+              0.0227322,
+              0.0489637,
+              0.1201248,
+              0.3147516
+            ],
+            [
+              0.0079604,
+              0.009317,
+              0.0129249,
+              0.0225583,
+              0.048826,
+              0.1202211,
+              0.3133138
+            ],
+            [
+              0.0080784,
+              0.0094364,
+              0.0130427,
+              0.0225097,
+              0.0486141,
+              0.1200395,
+              0.3137554
+            ],
+            [
+              0.0083701,
+              0.0097094,
+              0.0132979,
+              0.0229327,
+              0.0490581,
+              0.1196199,
+              0.3134123
+            ],
+            [
+              0.0101294,
+              0.0114289,
+              0.0149504,
+              0.024608,
+              0.0507694,
+              0.122154,
+              0.31491
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.199595,
+    "max_transition": 1.509341,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.2447661,
+              0.2524758,
+              0.2686577,
+              0.3003792,
+              0.3615848,
+              0.4890257,
+              0.7914788
+            ],
+            [
+              0.2478894,
+              0.2555832,
+              0.2718785,
+              0.3031622,
+              0.3647148,
+              0.4922311,
+              0.7938741
+            ],
+            [
+              0.2590537,
+              0.2667268,
+              0.2829683,
+              0.3142534,
+              0.3758478,
+              0.5033368,
+              0.8049878
+            ],
+            [
+              0.2882782,
+              0.2959665,
+              0.3122587,
+              0.3439363,
+              0.4047478,
+              0.5324568,
+              0.834783
+            ],
+            [
+              0.3503939,
+              0.3580588,
+              0.3744144,
+              0.4058741,
+              0.467114,
+              0.5945438,
+              0.8970525
+            ],
+            [
+              0.472061,
+              0.4801569,
+              0.4969951,
+              0.5296931,
+              0.5916739,
+              0.7200358,
+              1.0225157
+            ],
+            [
+              0.6907981,
+              0.699593,
+              0.7180983,
+              0.753658,
+              0.8201948,
+              0.9549319,
+              1.2607448
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.0583168,
+              0.0646182,
+              0.0795756,
+              0.1158896,
+              0.2083542,
+              0.4525068,
+              1.1130978
+            ],
+            [
+              0.0621113,
+              0.0684285,
+              0.0833138,
+              0.1195243,
+              0.2121432,
+              0.4564575,
+              1.115369
+            ],
+            [
+              0.0716553,
+              0.0778463,
+              0.0924915,
+              0.1285023,
+              0.2209499,
+              0.465777,
+              1.1242215
+            ],
+            [
+              0.0904888,
+              0.0965675,
+              0.111222,
+              0.1466283,
+              0.2386981,
+              0.48362,
+              1.1412999
+            ],
+            [
+              0.1124447,
+              0.119044,
+              0.1332785,
+              0.1691069,
+              0.2609055,
+              0.5059324,
+              1.1667796
+            ],
+            [
+              0.1231901,
+              0.1313905,
+              0.1483089,
+              0.1838925,
+              0.2752943,
+              0.5200463,
+              1.181642
+            ],
+            [
+              0.0842117,
+              0.0949951,
+              0.1165321,
+              0.15629,
+              0.2461403,
+              0.4906892,
+              1.1505865
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.0311593,
+              0.0363957,
+              0.0481601,
+              0.0726005,
+              0.1268085,
+              0.2602522,
+              0.6355909
+            ],
+            [
+              0.0313086,
+              0.036562,
+              0.0482195,
+              0.0731478,
+              0.127454,
+              0.2609116,
+              0.6359452
+            ],
+            [
+              0.0314101,
+              0.0366631,
+              0.0482282,
+              0.0731678,
+              0.1276972,
+              0.2611585,
+              0.6362433
+            ],
+            [
+              0.0311075,
+              0.0364456,
+              0.0478422,
+              0.0729686,
+              0.1280253,
+              0.2614201,
+              0.6332351
+            ],
+            [
+              0.0311876,
+              0.0366378,
+              0.0482459,
+              0.0724041,
+              0.1266942,
+              0.2616841,
+              0.6361054
+            ],
+            [
+              0.0334635,
+              0.039083,
+              0.0507806,
+              0.0750711,
+              0.1304088,
+              0.2625751,
+              0.6367208
+            ],
+            [
+              0.0392451,
+              0.0449098,
+              0.0572728,
+              0.0832269,
+              0.1409061,
+              0.2737206,
+              0.6375123
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.0189133,
+              0.0252446,
+              0.0421485,
+              0.0884984,
+              0.2150062,
+              0.5603062,
+              1.5014215
+            ],
+            [
+              0.0188387,
+              0.0251103,
+              0.0420618,
+              0.0884931,
+              0.2153902,
+              0.5589724,
+              1.4983015
+            ],
+            [
+              0.0185272,
+              0.0248054,
+              0.0417695,
+              0.0883228,
+              0.2151124,
+              0.5607134,
+              1.5006113
+            ],
+            [
+              0.0193842,
+              0.0255014,
+              0.0419594,
+              0.0878986,
+              0.2149127,
+              0.5604097,
+              1.4959662
+            ],
+            [
+              0.0225408,
+              0.0282771,
+              0.0436761,
+              0.088359,
+              0.2141186,
+              0.5604729,
+              1.5002308
+            ],
+            [
+              0.0291775,
+              0.0348135,
+              0.0486695,
+              0.0896834,
+              0.215097,
+              0.5586712,
+              1.5006185
+            ],
+            [
+              0.0393505,
+              0.0464406,
+              0.0613302,
+              0.0977235,
+              0.2162246,
+              0.562725,
+              1.4963096
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.2776347,
+              0.2860416,
+              0.3039639,
+              0.33764,
+              0.4008679,
+              0.5297716,
+              0.8334901
+            ],
+            [
+              0.2820749,
+              0.2905501,
+              0.308306,
+              0.3419811,
+              0.4052111,
+              0.5342162,
+              0.8379372
+            ],
+            [
+              0.294066,
+              0.3024543,
+              0.3203403,
+              0.3536752,
+              0.416727,
+              0.5462079,
+              0.8499476
+            ],
+            [
+              0.321948,
+              0.3304407,
+              0.3481695,
+              0.3818244,
+              0.4441795,
+              0.5739412,
+              0.8774546
+            ],
+            [
+              0.3786154,
+              0.3870076,
+              0.4047672,
+              0.4383352,
+              0.501305,
+              0.630995,
+              0.9349018
+            ],
+            [
+              0.4909057,
+              0.4994645,
+              0.517736,
+              0.5517968,
+              0.6156073,
+              0.7455995,
+              1.0494396
+            ],
+            [
+              0.6899468,
+              0.6994855,
+              0.7192138,
+              0.7561833,
+              0.8244498,
+              0.9595721,
+              1.2661695
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.0615928,
+              0.0678905,
+              0.0828158,
+              0.1190922,
+              0.2116988,
+              0.4560274,
+              1.1150078
+            ],
+            [
+              0.0656632,
+              0.0719491,
+              0.0867899,
+              0.1229865,
+              0.215593,
+              0.4596113,
+              1.120006
+            ],
+            [
+              0.0751284,
+              0.0813338,
+              0.0960679,
+              0.1320461,
+              0.2244127,
+              0.4688718,
+              1.1298021
+            ],
+            [
+              0.0941024,
+              0.1002681,
+              0.1147811,
+              0.1502948,
+              0.2424738,
+              0.4870107,
+              1.1481261
+            ],
+            [
+              0.1198946,
+              0.126608,
+              0.1415304,
+              0.1770135,
+              0.2686584,
+              0.5133275,
+              1.1746673
+            ],
+            [
+              0.1405655,
+              0.1486551,
+              0.1651804,
+              0.2014501,
+              0.2928747,
+              0.5370499,
+              1.1987733
+            ],
+            [
+              0.1236892,
+              0.1341161,
+              0.155372,
+              0.195284,
+              0.2864069,
+              0.5306294,
+              1.1905245
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.0357497,
+              0.0416134,
+              0.0527401,
+              0.0776452,
+              0.1317122,
+              0.2637575,
+              0.6349792
+            ],
+            [
+              0.0358423,
+              0.0416251,
+              0.0527732,
+              0.0778166,
+              0.131727,
+              0.2638402,
+              0.636129
+            ],
+            [
+              0.0357509,
+              0.0411555,
+              0.0528784,
+              0.0780633,
+              0.1312992,
+              0.2640459,
+              0.6387861
+            ],
+            [
+              0.0359715,
+              0.0416321,
+              0.052718,
+              0.077058,
+              0.1311153,
+              0.2649177,
+              0.6338357
+            ],
+            [
+              0.0357359,
+              0.0411556,
+              0.0529841,
+              0.0775541,
+              0.1310507,
+              0.2636194,
+              0.6379064
+            ],
+            [
+              0.0378708,
+              0.0430238,
+              0.0551573,
+              0.0788991,
+              0.1320053,
+              0.2654168,
+              0.6383045
+            ],
+            [
+              0.0429728,
+              0.0490959,
+              0.0616778,
+              0.0869964,
+              0.141568,
+              0.2741769,
+              0.6392762
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.0188924,
+              0.0251839,
+              0.0420808,
+              0.0885006,
+              0.2153161,
+              0.5590512,
+              1.4985532
+            ],
+            [
+              0.0187625,
+              0.0251255,
+              0.0420037,
+              0.0885662,
+              0.2149063,
+              0.5602108,
+              1.5011483
+            ],
+            [
+              0.0185287,
+              0.024813,
+              0.0418749,
+              0.0881287,
+              0.2150807,
+              0.559867,
+              1.5014785
+            ],
+            [
+              0.0191954,
+              0.0252208,
+              0.0417724,
+              0.0878556,
+              0.2149632,
+              0.558525,
+              1.498955
+            ],
+            [
+              0.0221488,
+              0.0278424,
+              0.0432341,
+              0.0880741,
+              0.2144713,
+              0.5588011,
+              1.4983619
+            ],
+            [
+              0.0281228,
+              0.0338904,
+              0.0485768,
+              0.0898322,
+              0.2153515,
+              0.5586037,
+              1.5006171
+            ],
+            [
+              0.0380657,
+              0.0448279,
+              0.0598092,
+              0.0972216,
+              0.2158615,
+              0.5615894,
+              1.4964588
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.1946805,
+              0.2019171,
+              0.2173346,
+              0.2473726,
+              0.3054826,
+              0.428959,
+              0.728466
+            ],
+            [
+              0.1980461,
+              0.2053973,
+              0.2207641,
+              0.2507837,
+              0.3088167,
+              0.4323099,
+              0.7317899
+            ],
+            [
+              0.209885,
+              0.2171516,
+              0.2323665,
+              0.2623701,
+              0.3206347,
+              0.4439356,
+              0.7440868
+            ],
+            [
+              0.2383408,
+              0.2456061,
+              0.2609742,
+              0.2906494,
+              0.3490031,
+              0.4725124,
+              0.7721103
+            ],
+            [
+              0.3002608,
+              0.3075286,
+              0.3228943,
+              0.3529206,
+              0.4112333,
+              0.5346624,
+              0.8343592
+            ],
+            [
+              0.4229674,
+              0.4307838,
+              0.447285,
+              0.4793468,
+              0.5393762,
+              0.6641918,
+              0.9643707
+            ],
+            [
+              0.6475203,
+              0.6563742,
+              0.6755083,
+              0.7116208,
+              0.7776819,
+              0.9111792,
+              1.2151424
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.0455374,
+              0.0509527,
+              0.0644438,
+              0.0988275,
+              0.1891162,
+              0.4329248,
+              1.091201
+            ],
+            [
+              0.0497246,
+              0.05506,
+              0.0684703,
+              0.1027912,
+              0.19336,
+              0.4365619,
+              1.0936522
+            ],
+            [
+              0.0594113,
+              0.0646892,
+              0.0779258,
+              0.1121512,
+              0.202547,
+              0.4457611,
+              1.1069877
+            ],
+            [
+              0.074734,
+              0.0801433,
+              0.0932981,
+              0.1272677,
+              0.2177929,
+              0.4609179,
+              1.1199105
+            ],
+            [
+              0.0881875,
+              0.0943229,
+              0.1080192,
+              0.1417439,
+              0.2321335,
+              0.4754595,
+              1.1345683
+            ],
+            [
+              0.0851544,
+              0.0927817,
+              0.1084848,
+              0.1428875,
+              0.2328524,
+              0.4764724,
+              1.1369036
+            ],
+            [
+              0.0234954,
+              0.0338677,
+              0.0544175,
+              0.0933147,
+              0.1830221,
+              0.4259531,
+              1.0843018
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.0286387,
+              0.0336156,
+              0.0446472,
+              0.0676452,
+              0.1215935,
+              0.2538728,
+              0.6290974
+            ],
+            [
+              0.0286227,
+              0.0336582,
+              0.0443389,
+              0.0679413,
+              0.1210319,
+              0.2542598,
+              0.6286083
+            ],
+            [
+              0.0287478,
+              0.0336899,
+              0.0450597,
+              0.0677827,
+              0.1214926,
+              0.2544434,
+              0.6292939
+            ],
+            [
+              0.0287427,
+              0.0337598,
+              0.0447407,
+              0.0683881,
+              0.1205102,
+              0.2543224,
+              0.633572
+            ],
+            [
+              0.028829,
+              0.0337739,
+              0.044451,
+              0.0680866,
+              0.1204572,
+              0.2541271,
+              0.6286917
+            ],
+            [
+              0.0327335,
+              0.0379587,
+              0.0494038,
+              0.0729195,
+              0.1254947,
+              0.2567042,
+              0.6347669
+            ],
+            [
+              0.0419375,
+              0.047662,
+              0.059739,
+              0.0860231,
+              0.1406796,
+              0.2689716,
+              0.6367805
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.015593,
+              0.0217105,
+              0.0386186,
+              0.0853166,
+              0.2124805,
+              0.559458,
+              1.5017069
+            ],
+            [
+              0.015503,
+              0.0216198,
+              0.0385309,
+              0.0851753,
+              0.2121457,
+              0.5593644,
+              1.4993593
+            ],
+            [
+              0.0154736,
+              0.0215291,
+              0.038303,
+              0.0852477,
+              0.2121404,
+              0.5592043,
+              1.4996899
+            ],
+            [
+              0.0168584,
+              0.0225112,
+              0.0387645,
+              0.0850258,
+              0.2125814,
+              0.5580814,
+              1.5008502
+            ],
+            [
+              0.0206548,
+              0.0257881,
+              0.0403323,
+              0.0855025,
+              0.2119814,
+              0.5584313,
+              1.5010595
+            ],
+            [
+              0.0273934,
+              0.0324524,
+              0.0463596,
+              0.0871499,
+              0.2134503,
+              0.5559677,
+              1.4992151
+            ],
+            [
+              0.0377261,
+              0.0450135,
+              0.0590257,
+              0.0954585,
+              0.2139804,
+              0.5592443,
+              1.4932192
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.1736767,
+              0.1809658,
+              0.1963432,
+              0.2263941,
+              0.2845532,
+              0.4078958,
+              0.707406
+            ],
+            [
+              0.1763955,
+              0.1836876,
+              0.1991056,
+              0.2291729,
+              0.2871312,
+              0.4105713,
+              0.7099701
+            ],
+            [
+              0.1872325,
+              0.1944486,
+              0.2098293,
+              0.2397839,
+              0.2978159,
+              0.4213237,
+              0.7208618
+            ],
+            [
+              0.2149749,
+              0.22223,
+              0.2375871,
+              0.2676443,
+              0.3255958,
+              0.4490759,
+              0.7486294
+            ],
+            [
+              0.2800418,
+              0.287274,
+              0.3026193,
+              0.332651,
+              0.391131,
+              0.5143113,
+              0.8138283
+            ],
+            [
+              0.4087937,
+              0.4167866,
+              0.4337455,
+              0.4656314,
+              0.5268275,
+              0.6521035,
+              0.9523773
+            ],
+            [
+              0.639742,
+              0.6495736,
+              0.6699948,
+              0.7082411,
+              0.7773268,
+              0.9096094,
+              1.2138529
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.043573,
+              0.0487373,
+              0.061825,
+              0.0956039,
+              0.1857153,
+              0.4286402,
+              1.0866804
+            ],
+            [
+              0.0476377,
+              0.0528078,
+              0.0658101,
+              0.0996196,
+              0.1901582,
+              0.4325058,
+              1.0908283
+            ],
+            [
+              0.0569537,
+              0.0620613,
+              0.0748554,
+              0.1086584,
+              0.1987612,
+              0.4414941,
+              1.0996059
+            ],
+            [
+              0.070301,
+              0.0755648,
+              0.0884467,
+              0.1222149,
+              0.2123748,
+              0.4554741,
+              1.1143072
+            ],
+            [
+              0.0807383,
+              0.0867178,
+              0.1001472,
+              0.1339138,
+              0.2241613,
+              0.4683378,
+              1.128025
+            ],
+            [
+              0.0719763,
+              0.079636,
+              0.095701,
+              0.1295888,
+              0.2196994,
+              0.4626055,
+              1.12292
+            ],
+            [
+              0.0014945,
+              0.0120099,
+              0.0330758,
+              0.0725592,
+              0.1622747,
+              0.4047749,
+              1.0634887
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.0287309,
+              0.0335327,
+              0.044774,
+              0.0681274,
+              0.1215665,
+              0.254042,
+              0.6334577
+            ],
+            [
+              0.0287269,
+              0.0335681,
+              0.0443823,
+              0.0684216,
+              0.1216487,
+              0.2536348,
+              0.6284884
+            ],
+            [
+              0.028626,
+              0.0336152,
+              0.0446375,
+              0.0682759,
+              0.1216383,
+              0.2538089,
+              0.6290038
+            ],
+            [
+              0.0287328,
+              0.0337591,
+              0.0447567,
+              0.0682508,
+              0.1208241,
+              0.2543917,
+              0.6286048
+            ],
+            [
+              0.0288854,
+              0.0339319,
+              0.0446194,
+              0.0677973,
+              0.1213321,
+              0.2539011,
+              0.6284404
+            ],
+            [
+              0.0344573,
+              0.0393293,
+              0.0506903,
+              0.0748172,
+              0.1266228,
+              0.257673,
+              0.6325612
+            ],
+            [
+              0.0472179,
+              0.0528973,
+              0.0654342,
+              0.0923902,
+              0.142684,
+              0.2691834,
+              0.6372606
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.0147134,
+              0.0207017,
+              0.0375214,
+              0.0845861,
+              0.211747,
+              0.5580905,
+              1.4998538
+            ],
+            [
+              0.0146704,
+              0.020713,
+              0.037562,
+              0.0845151,
+              0.2118044,
+              0.5592664,
+              1.501551
+            ],
+            [
+              0.0148008,
+              0.0208166,
+              0.0376132,
+              0.0844971,
+              0.2120956,
+              0.5593832,
+              1.5021372
+            ],
+            [
+              0.0164197,
+              0.0220057,
+              0.0381813,
+              0.0843074,
+              0.212313,
+              0.5595592,
+              1.5027557
+            ],
+            [
+              0.0203533,
+              0.0253635,
+              0.0400492,
+              0.0849997,
+              0.2113584,
+              0.5583072,
+              1.4983792
+            ],
+            [
+              0.0275335,
+              0.0328422,
+              0.0461232,
+              0.0867684,
+              0.212784,
+              0.557038,
+              1.5005431
+            ],
+            [
+              0.0383459,
+              0.0456537,
+              0.059927,
+              0.09617,
+              0.2135554,
+              0.5617519,
+              1.4933077
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.1393259,
+              0.1466205,
+              0.1620682,
+              0.1919624,
+              0.2503276,
+              0.3737208,
+              0.6732802
+            ],
+            [
+              0.1407031,
+              0.1479709,
+              0.1633656,
+              0.1932512,
+              0.2516095,
+              0.3749887,
+              0.6749898
+            ],
+            [
+              0.150137,
+              0.1574808,
+              0.1728442,
+              0.203039,
+              0.2613415,
+              0.3845968,
+              0.6842157
+            ],
+            [
+              0.1761928,
+              0.1834245,
+              0.1988892,
+              0.2286992,
+              0.2871351,
+              0.4105025,
+              0.7101336
+            ],
+            [
+              0.2425271,
+              0.2495687,
+              0.2646071,
+              0.2942158,
+              0.3523633,
+              0.4747928,
+              0.7746591
+            ],
+            [
+              0.3681617,
+              0.3767152,
+              0.3942516,
+              0.4271393,
+              0.4873512,
+              0.6117141,
+              0.9128572
+            ],
+            [
+              0.5836533,
+              0.5938979,
+              0.6151807,
+              0.6550306,
+              0.7232134,
+              0.8523715,
+              1.1556048
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.0388353,
+              0.0437771,
+              0.0563531,
+              0.0892803,
+              0.1782651,
+              0.4218462,
+              1.079954
+            ],
+            [
+              0.0429474,
+              0.0478764,
+              0.060455,
+              0.0935427,
+              0.1829301,
+              0.425,
+              1.0837322
+            ],
+            [
+              0.0515626,
+              0.0565079,
+              0.0690869,
+              0.1023388,
+              0.1915831,
+              0.4351229,
+              1.1024623
+            ],
+            [
+              0.0620935,
+              0.0673031,
+              0.080139,
+              0.1133974,
+              0.2041239,
+              0.4460157,
+              1.10235
+            ],
+            [
+              0.0681658,
+              0.0744469,
+              0.0879458,
+              0.120921,
+              0.2111867,
+              0.4534427,
+              1.1170949
+            ],
+            [
+              0.0535008,
+              0.0615199,
+              0.0781623,
+              0.1123407,
+              0.2016512,
+              0.4446634,
+              1.1034091
+            ],
+            [
+              -0.025763,
+              -0.0146602,
+              0.0076249,
+              0.0487878,
+              0.1378508,
+              0.3807667,
+              1.0407458
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.0285246,
+              0.0335097,
+              0.0446758,
+              0.0677854,
+              0.1213907,
+              0.2542769,
+              0.6308216
+            ],
+            [
+              0.0286534,
+              0.0337356,
+              0.0446901,
+              0.0677381,
+              0.1213761,
+              0.2542146,
+              0.6290558
+            ],
+            [
+              0.0287769,
+              0.0335989,
+              0.0446858,
+              0.0677636,
+              0.1213535,
+              0.254243,
+              0.6339279
+            ],
+            [
+              0.0284273,
+              0.0335659,
+              0.0444848,
+              0.0682296,
+              0.1206792,
+              0.2542284,
+              0.6287675
+            ],
+            [
+              0.0285084,
+              0.0333948,
+              0.0439083,
+              0.0674801,
+              0.1203759,
+              0.2543008,
+              0.634387
+            ],
+            [
+              0.0378514,
+              0.0431911,
+              0.0544205,
+              0.075864,
+              0.1260623,
+              0.2582773,
+              0.6315857
+            ],
+            [
+              0.0517831,
+              0.0579594,
+              0.0712768,
+              0.0965347,
+              0.1437704,
+              0.2661411,
+              0.6365157
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135675,
+            0.00368154,
+            0.00998987,
+            0.0271075,
+            0.0735562,
+            0.199595
+          ],
+          "values": [
+            [
+              0.0142112,
+              0.0202311,
+              0.0372527,
+              0.0843268,
+              0.2124224,
+              0.5582649,
+              1.4969627
+            ],
+            [
+              0.0141955,
+              0.0202574,
+              0.0372414,
+              0.0841452,
+              0.2122898,
+              0.5592861,
+              1.502126
+            ],
+            [
+              0.0146148,
+              0.0205072,
+              0.0373043,
+              0.0844598,
+              0.2126918,
+              0.5619837,
+              1.5078513
+            ],
+            [
+              0.0166629,
+              0.0220619,
+              0.0380691,
+              0.0842016,
+              0.2118578,
+              0.559076,
+              1.4967251
+            ],
+            [
+              0.0210956,
+              0.0261557,
+              0.040265,
+              0.0849147,
+              0.2115067,
+              0.5594878,
+              1.5093409
+            ],
+            [
+              0.0290854,
+              0.0346378,
+              0.0478595,
+              0.0874073,
+              0.2128606,
+              0.5570757,
+              1.5035932
+            ],
+            [
+              0.0414304,
+              0.0489419,
+              0.0638001,
+              0.0997367,
+              0.2146695,
+              0.5610603,
+              1.4898681
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v95_ccsnoise.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v95_ccsnoise.lib.json
new file mode 100644
index 0000000..29abce5
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ff_n40C_1v95_ccsnoise.lib.json
@@ -0,0 +1,17722 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 0.003886176,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 0.0003327,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0062256,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0004612,
+      "when": "A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0062296,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0063827,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0062256,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.0004616,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0062258,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 0.0003367,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0062256,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0004612,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0062296,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0064146,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0062256,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.0004616,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0062258,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 0.0003367,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0062256,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0004612,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0062296,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0064034,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0062256,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.0004616,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0062258,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 0.0003367,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0062256,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0004612,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0062257,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0005006,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0062256,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.0004612,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0062258,
+      "when": "A1&A2&B1&!C1&D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.002437,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.00234,
+    "input_voltage": "GENERAL",
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0052472,
+          0.0052527,
+          0.0052653,
+          0.0052675,
+          0.0052725,
+          0.0052841,
+          0.0053109
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0041871,
+          -0.0041912,
+          -0.0042006,
+          -0.0041954,
+          -0.0041835,
+          -0.004156,
+          -0.0040925
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002534
+  },
+  "pin A2": {
+    "capacitance": 0.002288,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.00219,
+    "input_voltage": "GENERAL",
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.004432,
+          0.0044311,
+          0.0044288,
+          0.0044306,
+          0.0044348,
+          0.0044445,
+          0.0044668
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0044343,
+          -0.0044338,
+          -0.0044327,
+          -0.004431,
+          -0.0044272,
+          -0.0044183,
+          -0.0043978
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002386
+  },
+  "pin B1": {
+    "capacitance": 0.00245,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002316,
+    "input_voltage": "GENERAL",
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0046485,
+          0.0046359,
+          0.0046068,
+          0.0046079,
+          0.0046104,
+          0.0046162,
+          0.0046296
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.003959,
+          -0.0040174,
+          -0.0041519,
+          -0.0041668,
+          -0.0042013,
+          -0.0042808,
+          -0.004464
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002585
+  },
+  "pin C1": {
+    "capacitance": 0.002405,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002246,
+    "input_voltage": "GENERAL",
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0043217,
+          0.0043178,
+          0.004309,
+          0.0043098,
+          0.0043116,
+          0.0043159,
+          0.0043257
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.003852,
+          -0.003943,
+          -0.0041529,
+          -0.0041583,
+          -0.0041708,
+          -0.0041996,
+          -0.004266
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002564
+  },
+  "pin D1": {
+    "capacitance": 0.002394,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002191,
+    "input_voltage": "GENERAL",
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.002918,
+          0.002913,
+          0.0029015,
+          0.0029079,
+          0.0029225,
+          0.0029563,
+          0.0030341
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0019419,
+          -0.0019434,
+          -0.0019468,
+          -0.0019486,
+          -0.0019529,
+          -0.0019628,
+          -0.0019856
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002596
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001390839,
+            0.003868864,
+            0.01076193,
+            0.02993622,
+            0.0832729,
+            0.2316383
+          ],
+          "values": [
+            [
+              0.0168622,
+              0.0152721,
+              0.0116559,
+              0.0009766,
+              -0.0329263,
+              -0.133489,
+              -0.4154836
+            ],
+            [
+              0.0164173,
+              0.0148777,
+              0.0113411,
+              0.0006617,
+              -0.0332637,
+              -0.1338421,
+              -0.4158509
+            ],
+            [
+              0.0161517,
+              0.0145199,
+              0.0110645,
+              0.000298,
+              -0.0336191,
+              -0.1341666,
+              -0.4161731
+            ],
+            [
+              0.0160194,
+              0.0144656,
+              0.0108218,
+              0.0001282,
+              -0.0338005,
+              -0.1343572,
+              -0.4163337
+            ],
+            [
+              0.0159232,
+              0.0143392,
+              0.0106134,
+              -2.33e-05,
+              -0.034003,
+              -0.1345557,
+              -0.4165314
+            ],
+            [
+              0.0192382,
+              0.0172514,
+              0.0121727,
+              -0.0005935,
+              -0.0341344,
+              -0.1345596,
+              -0.4165524
+            ],
+            [
+              0.0250035,
+              0.0228672,
+              0.017556,
+              0.003991,
+              -0.0325201,
+              -0.1336577,
+              -0.4154407
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001390839,
+            0.003868864,
+            0.01076193,
+            0.02993622,
+            0.0832729,
+            0.2316383
+          ],
+          "values": [
+            [
+              0.0170202,
+              0.0190947,
+              0.0245345,
+              0.0384434,
+              0.0751781,
+              0.1765314,
+              0.4545428
+            ],
+            [
+              0.0168883,
+              0.0189674,
+              0.0243965,
+              0.0382899,
+              0.0750057,
+              0.1764987,
+              0.4543204
+            ],
+            [
+              0.0168745,
+              0.0189317,
+              0.0242961,
+              0.0380891,
+              0.0748082,
+              0.1762988,
+              0.456158
+            ],
+            [
+              0.0169902,
+              0.0189175,
+              0.0241025,
+              0.037791,
+              0.0748215,
+              0.1760017,
+              0.4540294
+            ],
+            [
+              0.0182683,
+              0.0199285,
+              0.0245787,
+              0.0377548,
+              0.0744695,
+              0.1759195,
+              0.4561449
+            ],
+            [
+              0.0204028,
+              0.0220087,
+              0.0266431,
+              0.0395179,
+              0.0752668,
+              0.1755022,
+              0.4566634
+            ],
+            [
+              0.025685,
+              0.0272001,
+              0.0317738,
+              0.0444542,
+              0.080278,
+              0.1803571,
+              0.4593091
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001390839,
+            0.003868864,
+            0.01076193,
+            0.02993622,
+            0.0832729,
+            0.2316383
+          ],
+          "values": [
+            [
+              0.0206661,
+              0.0189609,
+              0.0151326,
+              0.0045353,
+              -0.0292806,
+              -0.1297077,
+              -0.4116101
+            ],
+            [
+              0.0205172,
+              0.0187487,
+              0.0149626,
+              0.0043462,
+              -0.0294978,
+              -0.129927,
+              -0.4117974
+            ],
+            [
+              0.0201958,
+              0.0185823,
+              0.0148118,
+              0.0041844,
+              -0.029671,
+              -0.1300938,
+              -0.4120979
+            ],
+            [
+              0.0201803,
+              0.0184674,
+              0.0146228,
+              0.004008,
+              -0.0298294,
+              -0.1302463,
+              -0.4121205
+            ],
+            [
+              0.0199631,
+              0.0181805,
+              0.0145612,
+              0.0037931,
+              -0.0299711,
+              -0.1304068,
+              -0.4122772
+            ],
+            [
+              0.0229739,
+              0.0209478,
+              0.0156985,
+              0.0035615,
+              -0.0300169,
+              -0.1303678,
+              -0.4122732
+            ],
+            [
+              0.0297767,
+              0.0276423,
+              0.0222094,
+              0.0090065,
+              -0.027741,
+              -0.129232,
+              -0.4109143
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001390839,
+            0.003868864,
+            0.01076193,
+            0.02993622,
+            0.0832729,
+            0.2316383
+          ],
+          "values": [
+            [
+              0.0171594,
+              0.0192395,
+              0.0246706,
+              0.0385752,
+              0.0753159,
+              0.17577,
+              0.4548362
+            ],
+            [
+              0.0170387,
+              0.0191106,
+              0.0245286,
+              0.0384483,
+              0.0754925,
+              0.1765655,
+              0.4545976
+            ],
+            [
+              0.0170454,
+              0.0190869,
+              0.0244764,
+              0.0382956,
+              0.0753068,
+              0.1763984,
+              0.4543997
+            ],
+            [
+              0.0172231,
+              0.0191915,
+              0.0243996,
+              0.0379599,
+              0.0747032,
+              0.175369,
+              0.4561438
+            ],
+            [
+              0.0183554,
+              0.0200302,
+              0.0247649,
+              0.0381713,
+              0.0745007,
+              0.1759518,
+              0.454169
+            ],
+            [
+              0.0203528,
+              0.0219648,
+              0.0265736,
+              0.0395042,
+              0.0754284,
+              0.1761618,
+              0.4548227
+            ],
+            [
+              0.0249578,
+              0.0266816,
+              0.0310551,
+              0.0438529,
+              0.0799781,
+              0.1798281,
+              0.45966
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001390839,
+            0.003868864,
+            0.01076193,
+            0.02993622,
+            0.0832729,
+            0.2316383
+          ],
+          "values": [
+            [
+              0.0144011,
+              0.0129365,
+              0.0094273,
+              -0.0011392,
+              -0.035336,
+              -0.136056,
+              -0.4180551
+            ],
+            [
+              0.0141095,
+              0.0126621,
+              0.0093098,
+              -0.0013293,
+              -0.0355884,
+              -0.1363162,
+              -0.418315
+            ],
+            [
+              0.0139457,
+              0.012344,
+              0.0091371,
+              -0.0015393,
+              -0.0357722,
+              -0.1365377,
+              -0.4185244
+            ],
+            [
+              0.013844,
+              0.0123956,
+              0.0089473,
+              -0.0016965,
+              -0.0359308,
+              -0.1366515,
+              -0.4186179
+            ],
+            [
+              0.0139613,
+              0.0122762,
+              0.0088822,
+              -0.0018666,
+              -0.0360418,
+              -0.1368007,
+              -0.4187748
+            ],
+            [
+              0.0186553,
+              0.0166552,
+              0.0115672,
+              -0.0015296,
+              -0.0360239,
+              -0.136658,
+              -0.4186642
+            ],
+            [
+              0.0246392,
+              0.0226128,
+              0.0172351,
+              0.003604,
+              -0.0331311,
+              -0.1343713,
+              -0.4158996
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001390839,
+            0.003868864,
+            0.01076193,
+            0.02993622,
+            0.0832729,
+            0.2316383
+          ],
+          "values": [
+            [
+              0.0149128,
+              0.0168146,
+              0.0218527,
+              0.0352562,
+              0.0719097,
+              0.1718107,
+              0.4527239
+            ],
+            [
+              0.0148893,
+              0.0167655,
+              0.0217881,
+              0.0351828,
+              0.0718089,
+              0.1717939,
+              0.4506371
+            ],
+            [
+              0.0149291,
+              0.0167711,
+              0.0217561,
+              0.0351034,
+              0.0717181,
+              0.1718425,
+              0.4506571
+            ],
+            [
+              0.0149803,
+              0.016765,
+              0.0215635,
+              0.0348472,
+              0.0715611,
+              0.1716473,
+              0.4527404
+            ],
+            [
+              0.0157956,
+              0.0174991,
+              0.0220836,
+              0.0349036,
+              0.0710575,
+              0.1723916,
+              0.4529406
+            ],
+            [
+              0.0175171,
+              0.0192954,
+              0.0238608,
+              0.0367281,
+              0.0724148,
+              0.1731076,
+              0.4514095
+            ],
+            [
+              0.0230034,
+              0.0245697,
+              0.0290101,
+              0.0419257,
+              0.0778715,
+              0.1783873,
+              0.4548226
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001390839,
+            0.003868864,
+            0.01076193,
+            0.02993622,
+            0.0832729,
+            0.2316383
+          ],
+          "values": [
+            [
+              0.011973,
+              0.0105129,
+              0.0071829,
+              -0.0034626,
+              -0.0377134,
+              -0.1384626,
+              -0.4204938
+            ],
+            [
+              0.0117111,
+              0.0102402,
+              0.0069373,
+              -0.0037472,
+              -0.0379725,
+              -0.1387576,
+              -0.4207754
+            ],
+            [
+              0.0115364,
+              0.0100535,
+              0.0067185,
+              -0.004047,
+              -0.0381758,
+              -0.138941,
+              -0.4209706
+            ],
+            [
+              0.0113981,
+              0.0099231,
+              0.0065132,
+              -0.0041564,
+              -0.0383589,
+              -0.1391119,
+              -0.4210851
+            ],
+            [
+              0.0115198,
+              0.0099552,
+              0.0062912,
+              -0.004241,
+              -0.0384685,
+              -0.1392377,
+              -0.4211475
+            ],
+            [
+              0.0170946,
+              0.0150631,
+              0.0099147,
+              -0.003553,
+              -0.03792,
+              -0.1385128,
+              -0.4204917
+            ],
+            [
+              0.0239076,
+              0.0217483,
+              0.0162214,
+              0.0024867,
+              -0.0341563,
+              -0.1353043,
+              -0.4170551
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001390839,
+            0.003868864,
+            0.01076193,
+            0.02993622,
+            0.0832729,
+            0.2316383
+          ],
+          "values": [
+            [
+              0.0137123,
+              0.0155164,
+              0.0204359,
+              0.0337084,
+              0.0700236,
+              0.1702468,
+              0.4490966
+            ],
+            [
+              0.0137783,
+              0.0155798,
+              0.0205029,
+              0.0337838,
+              0.0703806,
+              0.1712817,
+              0.4514226
+            ],
+            [
+              0.0140124,
+              0.0157406,
+              0.0205739,
+              0.0338409,
+              0.0705002,
+              0.1705145,
+              0.4492098
+            ],
+            [
+              0.0140573,
+              0.0157023,
+              0.0204418,
+              0.0335903,
+              0.0700238,
+              0.1704439,
+              0.4492879
+            ],
+            [
+              0.014519,
+              0.016235,
+              0.020884,
+              0.0336869,
+              0.0699237,
+              0.1703649,
+              0.4489921
+            ],
+            [
+              0.0162071,
+              0.0178342,
+              0.0224502,
+              0.0353414,
+              0.0714488,
+              0.1717681,
+              0.4500124
+            ],
+            [
+              0.0212166,
+              0.0227774,
+              0.0271718,
+              0.0400376,
+              0.0761064,
+              0.1765836,
+              0.4530072
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001390839,
+            0.003868864,
+            0.01076193,
+            0.02993622,
+            0.0832729,
+            0.2316383
+          ],
+          "values": [
+            [
+              0.0109442,
+              0.0094802,
+              0.0061106,
+              -0.004585,
+              -0.038766,
+              -0.1395253,
+              -0.4215401
+            ],
+            [
+              0.0106075,
+              0.0091493,
+              0.0057606,
+              -0.0049298,
+              -0.0391081,
+              -0.139868,
+              -0.4218485
+            ],
+            [
+              0.0104718,
+              0.0090138,
+              0.0055979,
+              -0.0050806,
+              -0.0392601,
+              -0.1399776,
+              -0.4219919
+            ],
+            [
+              0.0105884,
+              0.0090413,
+              0.0057264,
+              -0.0050601,
+              -0.0392788,
+              -0.1400037,
+              -0.4219963
+            ],
+            [
+              0.0116829,
+              0.0101097,
+              0.0064198,
+              -0.004291,
+              -0.0385953,
+              -0.1393163,
+              -0.4212934
+            ],
+            [
+              0.0191005,
+              0.0170226,
+              0.0117589,
+              -0.0017506,
+              -0.0367503,
+              -0.1373867,
+              -0.4193924
+            ],
+            [
+              0.0271847,
+              0.0249612,
+              0.019393,
+              0.0056036,
+              -0.0308228,
+              -0.1325297,
+              -0.4142
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001390839,
+            0.003868864,
+            0.01076193,
+            0.02993622,
+            0.0832729,
+            0.2316383
+          ],
+          "values": [
+            [
+              0.0100253,
+              0.0117494,
+              0.0164695,
+              0.0293509,
+              0.0654723,
+              0.1651563,
+              0.4464136
+            ],
+            [
+              0.0099697,
+              0.0116944,
+              0.0163989,
+              0.0293567,
+              0.0655704,
+              0.1654375,
+              0.444277
+            ],
+            [
+              0.0098996,
+              0.0116068,
+              0.0162734,
+              0.0293277,
+              0.0655873,
+              0.1661547,
+              0.4435323
+            ],
+            [
+              0.0101707,
+              0.0117131,
+              0.0164092,
+              0.0291618,
+              0.0655441,
+              0.1655941,
+              0.445581
+            ],
+            [
+              0.0106935,
+              0.0124376,
+              0.0170119,
+              0.0297104,
+              0.0655891,
+              0.165735,
+              0.4455824
+            ],
+            [
+              0.0129084,
+              0.0145178,
+              0.0191701,
+              0.0319181,
+              0.0673916,
+              0.1672573,
+              0.4465114
+            ],
+            [
+              0.01975,
+              0.0211096,
+              0.0255461,
+              0.0381755,
+              0.0738321,
+              0.1734711,
+              0.4517748
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.231638,
+    "max_transition": 1.499373,
+    "output_voltage": "GENERAL",
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "ccsn_first_stage": {
+          "dc_current ccsn_dc": {
+            "index_1": [
+              -1.95,
+              -0.975,
+              -0.39,
+              -0.195,
+              0,
+              0.0975,
+              0.195,
+              0.2925,
+              0.39,
+              0.4875,
+              0.585,
+              0.6825,
+              0.78,
+              0.8775,
+              0.975,
+              1.0725,
+              1.17,
+              1.2675,
+              1.365,
+              1.4625,
+              1.56,
+              1.6575,
+              1.755,
+              1.8525,
+              1.95,
+              2.145,
+              2.34,
+              2.925,
+              3.9
+            ],
+            "index_2": [
+              -1.95,
+              -0.975,
+              -0.39,
+              -0.195,
+              0,
+              0.0975,
+              0.195,
+              0.2925,
+              0.39,
+              0.4875,
+              0.585,
+              0.6825,
+              0.78,
+              0.8775,
+              0.975,
+              1.0725,
+              1.17,
+              1.2675,
+              1.365,
+              1.4625,
+              1.56,
+              1.6575,
+              1.755,
+              1.8525,
+              1.95,
+              2.145,
+              2.34,
+              2.925,
+              3.9
+            ],
+            "values": [
+              [
+                9.44828,
+                0.611649,
+                0.0559742,
+                0.0553094,
+                0.0546676,
+                0.0543293,
+                0.0539772,
+                0.0536089,
+                0.0532214,
+                0.0528103,
+                0.0523694,
+                0.0518887,
+                0.0513524,
+                0.0507306,
+                0.0499564,
+                0.0488473,
+                0.0470664,
+                0.044386,
+                0.0407422,
+                0.0361332,
+                0.0305859,
+                0.0241437,
+                0.0168598,
+                0.00879196,
+                -4.8776e-08,
+                -0.0190641,
+                -0.0394276,
+                -0.15124,
+                -1.78832
+              ],
+              [
+                9.4485,
+                0.611937,
+                0.0562865,
+                0.0556187,
+                0.0549737,
+                0.0546336,
+                0.0542796,
+                0.0539094,
+                0.0535199,
+                0.0531066,
+                0.0526632,
+                0.0521799,
+                0.0516405,
+                0.0510152,
+                0.0502368,
+                0.0491229,
+                0.0473363,
+                0.0446481,
+                0.040993,
+                0.0363676,
+                0.0307967,
+                0.0243216,
+                0.0169932,
+                0.0088669,
+                -4.18182e-08,
+                -0.0192478,
+                -0.039827,
+                -0.151897,
+                -1.78845
+              ],
+              [
+                9.45055,
+                0.617898,
+                0.055067,
+                0.0544241,
+                0.0538053,
+                0.053479,
+                0.0531392,
+                0.0527837,
+                0.0524096,
+                0.0520126,
+                0.0515865,
+                0.051122,
+                0.0506034,
+                0.0500017,
+                0.0492516,
+                0.048173,
+                0.0464339,
+                0.0438102,
+                0.0402375,
+                0.0357112,
+                0.0302541,
+                0.0239047,
+                0.0167107,
+                0.00872456,
+                -3.3618e-08,
+                -0.0189696,
+                -0.0392909,
+                -0.151294,
+                -1.78835
+              ],
+              [
+                9.45469,
+                0.645505,
+                0.054071,
+                0.0534477,
+                0.0528497,
+                0.0525342,
+                0.0522057,
+                0.0518619,
+                0.0515,
+                0.0511158,
+                0.0507035,
+                0.0502539,
+                0.0497518,
+                0.0491689,
+                0.0484412,
+                0.0473907,
+                0.0456895,
+                0.0431175,
+                0.039611,
+                0.0351648,
+                0.0298,
+                0.0235534,
+                0.0164709,
+                0.00860248,
+                -2.93411e-08,
+                -0.0187265,
+                -0.0388179,
+                -0.150739,
+                -1.78825
+              ],
+              [
+                9.45863,
+                0.688987,
+                0.0525191,
+                0.0519067,
+                0.0513413,
+                0.0510429,
+                0.0507319,
+                0.0504065,
+                0.0500637,
+                0.0496998,
+                0.0493091,
+                0.0488829,
+                0.0484066,
+                0.0478533,
+                0.0471609,
+                0.046155,
+                0.0445142,
+                0.0420247,
+                0.038624,
+                0.034305,
+                0.0290866,
+                0.0230025,
+                0.0160953,
+                0.0084116,
+                -2.45404e-08,
+                -0.0183494,
+                -0.0380886,
+                -0.149898,
+                -1.7881
+              ],
+              [
+                9.46028,
+                0.71196,
+                0.0516818,
+                0.0508135,
+                0.0502711,
+                0.0499847,
+                0.0496863,
+                0.0493738,
+                0.0490447,
+                0.0486952,
+                0.0483198,
+                0.0479101,
+                0.0474522,
+                0.0469199,
+                0.0462526,
+                0.0452786,
+                0.0436813,
+                0.0412515,
+                0.037927,
+                0.0336994,
+                0.0285856,
+                0.0226168,
+                0.0158332,
+                0.00827881,
+                -2.23309e-08,
+                -0.0180902,
+                -0.0375916,
+                -0.14934,
+                -1.788
+              ],
+              [
+                9.46166,
+                0.735016,
+                0.0525263,
+                0.0494047,
+                0.0488903,
+                0.0486196,
+                0.0483373,
+                0.0480417,
+                0.0477301,
+                0.0473992,
+                0.0470436,
+                0.0466554,
+                0.0462212,
+                0.045716,
+                0.0450813,
+                0.0441488,
+                0.0426087,
+                0.0402575,
+                0.0370331,
+                0.0329252,
+                0.0279472,
+                0.0221271,
+                0.0155015,
+                0.00811139,
+                -2.84068e-08,
+                -0.0177678,
+                -0.0369786,
+                -0.148668,
+                -1.78788
+              ],
+              [
+                9.46268,
+                0.757986,
+                0.0596446,
+                0.0475888,
+                0.0470708,
+                0.0468208,
+                0.04656,
+                0.0462867,
+                0.0459985,
+                0.0456921,
+                0.0453628,
+                0.0450029,
+                0.0446002,
+                0.0441309,
+                0.0435395,
+                0.0426627,
+                0.0412001,
+                0.0389555,
+                0.0358665,
+                0.0319189,
+                0.0271214,
+                0.0214969,
+                0.0150769,
+                0.00789806,
+                -2.44988e-07,
+                -0.017365,
+                -0.0362213,
+                -0.147863,
+                -1.78773
+              ],
+              [
+                9.46319,
+                0.780626,
+                0.075517,
+                0.0456176,
+                0.0445962,
+                0.0443745,
+                0.0441431,
+                0.0439003,
+                0.0436441,
+                0.0433714,
+                0.0430778,
+                0.0427568,
+                0.042397,
+                0.041977,
+                0.0414449,
+                0.0406456,
+                0.0392927,
+                0.0371995,
+                0.0343014,
+                0.0305772,
+                0.0260276,
+                0.0206673,
+                0.0145206,
+                0.00761822,
+                -5.19038e-06,
+                -0.0168632,
+                -0.0352881,
+                -0.146912,
+                -1.78758
+              ],
+              [
+                9.46288,
+                0.802453,
+                0.0961638,
+                0.0455926,
+                0.0410589,
+                0.0408708,
+                0.0406788,
+                0.0404769,
+                0.0402635,
+                0.040036,
+                0.0397907,
+                0.0395221,
+                0.0392206,
+                0.0388678,
+                0.0384182,
+                0.0377302,
+                0.0365404,
+                0.0346742,
+                0.0320595,
+                0.0286611,
+                0.0244645,
+                0.0194715,
+                0.0136954,
+                0.00715933,
+                -0.000107644,
+                -0.0163331,
+                -0.0342455,
+                -0.145944,
+                -1.78766
+              ],
+              [
+                9.4611,
+                0.822486,
+                0.116857,
+                0.0514286,
+                0.0355832,
+                0.0353136,
+                0.0351228,
+                0.034926,
+                0.0347203,
+                0.0345038,
+                0.0342738,
+                0.0340267,
+                0.0337555,
+                0.0334473,
+                0.0330678,
+                0.0325027,
+                0.0315333,
+                0.0299983,
+                0.0278009,
+                0.0248711,
+                0.0211635,
+                0.0166571,
+                0.0113509,
+                0.00525975,
+                -0.00159148,
+                -0.0171388,
+                -0.0345693,
+                -0.146885,
+                -1.79078
+              ],
+              [
+                9.45744,
+                0.839854,
+                0.134711,
+                0.0613618,
+                0.0271102,
+                0.0255769,
+                0.0249713,
+                0.0243992,
+                0.0238324,
+                0.0232657,
+                0.0226972,
+                0.0221247,
+                0.0215455,
+                0.0209536,
+                0.0203321,
+                0.0196168,
+                0.0186845,
+                0.0174331,
+                0.0157405,
+                0.0134285,
+                0.0103334,
+                0.00637278,
+                0.00153079,
+                -0.0041753,
+                -0.0107129,
+                -0.0259232,
+                -0.0433305,
+                -0.158196,
+                -1.808
+              ],
+              [
+                9.45343,
+                0.856404,
+                0.150738,
+                0.0714869,
+                0.0176804,
+                0.010418,
+                0.00832405,
+                0.00672208,
+                0.00522822,
+                0.00378519,
+                0.00237518,
+                0.000990165,
+                -0.000374837,
+                -0.00172486,
+                -0.0030711,
+                -0.00446004,
+                -0.00597014,
+                -0.00763324,
+                -0.00945378,
+                -0.0114501,
+                -0.0137425,
+                -0.0167286,
+                -0.0207954,
+                -0.0259652,
+                -0.032147,
+                -0.0472186,
+                -0.0649912,
+                -0.184203,
+                -1.84327
+              ],
+              [
+                9.45023,
+                0.873659,
+                0.16597,
+                0.0797944,
+                0.00948826,
+                -0.00817877,
+                -0.014132,
+                -0.0171585,
+                -0.0196648,
+                -0.0219841,
+                -0.0242045,
+                -0.0263603,
+                -0.0284684,
+                -0.0305391,
+                -0.0325838,
+                -0.0346453,
+                -0.0367888,
+                -0.0390253,
+                -0.0413335,
+                -0.0436893,
+                -0.0460815,
+                -0.0485327,
+                -0.0512768,
+                -0.05514,
+                -0.060431,
+                -0.0748824,
+                -0.092887,
+                -0.21687,
+                -1.88593
+              ],
+              [
+                9.44858,
+                0.892355,
+                0.180514,
+                0.0866987,
+                0.00372731,
+                -0.024023,
+                -0.0372455,
+                -0.0425548,
+                -0.0461525,
+                -0.0492532,
+                -0.0521308,
+                -0.0548811,
+                -0.0575473,
+                -0.0601522,
+                -0.0627126,
+                -0.06527,
+                -0.0678756,
+                -0.0705207,
+                -0.0731736,
+                -0.0758126,
+                -0.0784282,
+                -0.0810189,
+                -0.0835944,
+                -0.0862831,
+                -0.0900569,
+                -0.103033,
+                -0.120918,
+                -0.249523,
+                -1.92793
+              ],
+              [
+                9.44878,
+                0.912604,
+                0.19427,
+                0.0935684,
+                0.000939119,
+                -0.0347262,
+                -0.0566105,
+                -0.0659829,
+                -0.0710312,
+                -0.0749528,
+                -0.0784315,
+                -0.0816808,
+                -0.0847903,
+                -0.0878046,
+                -0.0907514,
+                -0.0936686,
+                -0.0965786,
+                -0.099465,
+                -0.102317,
+                -0.105133,
+                -0.107917,
+                -0.11067,
+                -0.113398,
+                -0.11611,
+                -0.119049,
+                -0.129706,
+                -0.147071,
+                -0.279969,
+                -1.96644
+              ],
+              [
+                9.45018,
+                0.93308,
+                0.206493,
+                0.100303,
+                0.000177278,
+                -0.0415101,
+                -0.0712032,
+                -0.0867343,
+                -0.0939872,
+                -0.0989092,
+                -0.103017,
+                -0.106738,
+                -0.110238,
+                -0.113595,
+                -0.116855,
+                -0.120049,
+                -0.123189,
+                -0.12628,
+                -0.129329,
+                -0.132343,
+                -0.135324,
+                -0.138279,
+                -0.141211,
+                -0.144125,
+                -0.147069,
+                -0.155335,
+                -0.171517,
+                -0.308155,
+                -2.00125
+              ],
+              [
+                9.45184,
+                0.951962,
+                0.216511,
+                0.106089,
+                3.43948e-05,
+                -0.0462802,
+                -0.0822413,
+                -0.104988,
+                -0.11571,
+                -0.121984,
+                -0.126821,
+                -0.131034,
+                -0.134909,
+                -0.138576,
+                -0.142105,
+                -0.145533,
+                -0.148884,
+                -0.152173,
+                -0.155412,
+                -0.158609,
+                -0.16177,
+                -0.164901,
+                -0.168008,
+                -0.171095,
+                -0.174175,
+                -0.18102,
+                -0.19493,
+                -0.334523,
+                -2.03287
+              ],
+              [
+                9.45338,
+                0.968245,
+                0.224558,
+                0.110825,
+                7.00907e-06,
+                -0.0499236,
+                -0.0907954,
+                -0.12033,
+                -0.136096,
+                -0.144327,
+                -0.150081,
+                -0.154846,
+                -0.15911,
+                -0.163078,
+                -0.166854,
+                -0.170493,
+                -0.174031,
+                -0.177491,
+                -0.180888,
+                -0.184233,
+                -0.187535,
+                -0.1908,
+                -0.194036,
+                -0.197248,
+                -0.200444,
+                -0.206947,
+                -0.217858,
+                -0.359452,
+                -2.06176
+              ],
+              [
+                9.45471,
+                0.981492,
+                0.231083,
+                0.114699,
+                1.3847e-06,
+                -0.0528015,
+                -0.0975398,
+                -0.132646,
+                -0.154566,
+                -0.165704,
+                -0.172685,
+                -0.178112,
+                -0.182802,
+                -0.187079,
+                -0.191094,
+                -0.194928,
+                -0.198632,
+                -0.202237,
+                -0.205762,
+                -0.209223,
+                -0.21263,
+                -0.215993,
+                -0.219318,
+                -0.222613,
+                -0.225884,
+                -0.232414,
+                -0.240785,
+                -0.38312,
+                -2.08797
+              ],
+              [
+                9.4558,
+                0.991748,
+                0.23644,
+                0.117891,
+                2.31673e-07,
+                -0.055117,
+                -0.102934,
+                -0.142391,
+                -0.170468,
+                -0.185744,
+                -0.194443,
+                -0.200703,
+                -0.205885,
+                -0.21049,
+                -0.214744,
+                -0.218763,
+                -0.222614,
+                -0.226339,
+                -0.229964,
+                -0.233509,
+                -0.236987,
+                -0.240409,
+                -0.243784,
+                -0.247118,
+                -0.25042,
+                -0.256963,
+                -0.263964,
+                -0.405424,
+                -2.11098
+              ],
+              [
+                9.45664,
+                0.999472,
+                0.240885,
+                0.120542,
+                3.34543e-08,
+                -0.0570044,
+                -0.107302,
+                -0.150153,
+                -0.183473,
+                -0.203957,
+                -0.215108,
+                -0.222461,
+                -0.228229,
+                -0.233195,
+                -0.237691,
+                -0.241881,
+                -0.245855,
+                -0.24967,
+                -0.25336,
+                -0.256949,
+                -0.260454,
+                -0.263886,
+                -0.267257,
+                -0.270573,
+                -0.273842,
+                -0.280273,
+                -0.286716,
+                -0.425886,
+                -2.12993
+              ],
+              [
+                9.45728,
+                1.00528,
+                0.244601,
+                0.122759,
+                1.21688e-08,
+                -0.0585589,
+                -0.110876,
+                -0.156413,
+                -0.193811,
+                -0.219792,
+                -0.234349,
+                -0.24318,
+                -0.249665,
+                -0.25503,
+                -0.259764,
+                -0.264099,
+                -0.268158,
+                -0.272015,
+                -0.275713,
+                -0.279282,
+                -0.282742,
+                -0.286107,
+                -0.289389,
+                -0.292595,
+                -0.295732,
+                -0.301831,
+                -0.307772,
+                -0.443697,
+                -2.14443
+              ],
+              [
+                9.45776,
+                1.0097,
+                0.247727,
+                0.124621,
+                1.1147e-08,
+                -0.0598496,
+                -0.113828,
+                -0.16152,
+                -0.20202,
+                -0.232866,
+                -0.251725,
+                -0.262575,
+                -0.269952,
+                -0.275747,
+                -0.280693,
+                -0.285118,
+                -0.289188,
+                -0.292998,
+                -0.296606,
+                -0.300047,
+                -0.303346,
+                -0.30652,
+                -0.309581,
+                -0.312538,
+                -0.315401,
+                -0.320874,
+                -0.326075,
+                -0.458237,
+                -2.15522
+              ],
+              [
+                9.45811,
+                1.01314,
+                0.250365,
+                0.126191,
+                1.16611e-08,
+                -0.0609283,
+                -0.116284,
+                -0.165729,
+                -0.208613,
+                -0.243248,
+                -0.266725,
+                -0.280244,
+                -0.288728,
+                -0.294965,
+                -0.300053,
+                -0.304458,
+                -0.30841,
+                -0.312034,
+                -0.315403,
+                -0.318564,
+                -0.321549,
+                -0.324381,
+                -0.327075,
+                -0.329646,
+                -0.332106,
+                -0.336735,
+                -0.341059,
+                -0.469735,
+                -2.16346
+              ],
+              [
+                9.4586,
+                1.01802,
+                0.254486,
+                0.128642,
+                1.22176e-08,
+                -0.0625982,
+                -0.120069,
+                -0.172151,
+                -0.218398,
+                -0.257839,
+                -0.288438,
+                -0.308304,
+                -0.3197,
+                -0.326764,
+                -0.33179,
+                -0.335743,
+                -0.339052,
+                -0.341935,
+                -0.344514,
+                -0.346863,
+                -0.349031,
+                -0.351052,
+                -0.352951,
+                -0.354746,
+                -0.356453,
+                -0.359651,
+                -0.36264,
+                -0.486858,
+                -2.17576
+              ],
+              [
+                9.4589,
+                1.02117,
+                0.257431,
+                0.130396,
+                1.1281e-08,
+                -0.0637893,
+                -0.122764,
+                -0.176685,
+                -0.22514,
+                -0.267226,
+                -0.301099,
+                -0.324847,
+                -0.339294,
+                -0.347641,
+                -0.35282,
+                -0.356453,
+                -0.359273,
+                -0.361618,
+                -0.363658,
+                -0.365488,
+                -0.367163,
+                -0.36872,
+                -0.370185,
+                -0.371573,
+                -0.3729,
+                -0.375404,
+                -0.377766,
+                -0.500019,
+                -2.18537
+              ],
+              [
+                9.45923,
+                1.02503,
+                0.261834,
+                0.133058,
+                1.51769e-08,
+                -0.0656564,
+                -0.127054,
+                -0.183929,
+                -0.235752,
+                -0.281124,
+                -0.316907,
+                -0.341037,
+                -0.35672,
+                -0.367863,
+                -0.376485,
+                -0.38343,
+                -0.388966,
+                -0.393161,
+                -0.396231,
+                -0.398537,
+                -0.400379,
+                -0.401936,
+                -0.403311,
+                -0.404561,
+                -0.405723,
+                -0.407867,
+                -0.409851,
+                -0.530634,
+                -2.20976
+              ],
+              [
+                9.45897,
+                1.0228,
+                0.261738,
+                0.133214,
+                3.62918e-08,
+                -0.0660969,
+                -0.128431,
+                -0.186683,
+                -0.240175,
+                -0.287021,
+                -0.323007,
+                -0.346322,
+                -0.361499,
+                -0.372644,
+                -0.381688,
+                -0.389505,
+                -0.39653,
+                -0.403,
+                -0.409054,
+                -0.414775,
+                -0.420207,
+                -0.425369,
+                -0.430246,
+                -0.434781,
+                -0.438854,
+                -0.445079,
+                -0.449057,
+                -0.571736,
+                -2.24916
+              ]
+            ]
+          },
+          "is_inverting": "true",
+          "is_needed": "true",
+          "miller_cap_fall": 0.000219905,
+          "miller_cap_rise": 0.000601828,
+          "output_voltage_fall": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.0121722,
+                  0.0223074,
+                  0.0337416,
+                  0.0461188,
+                  0.0664172
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.0606422,
+                  0.0799009,
+                  0.0937791,
+                  0.1057579,
+                  0.1246756
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              }
+            ]
+          },
+          "output_voltage_rise": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.1087483,
+                  0.1567378,
+                  0.207787,
+                  0.2685005,
+                  0.3839797
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.1693046,
+                  0.2174695,
+                  0.2681771,
+                  0.3286487,
+                  0.4445449
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              }
+            ]
+          },
+          "propagated_noise_high": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  0.897214
+                ],
+                "index_2": [
+                  0.947195
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.4534235,
+                  0.4892104,
+                  0.5514963,
+                  0.6346497,
+                  0.711834
+                ],
+                "values": [
+                  1.69218,
+                  1.53749,
+                  1.43436,
+                  1.53749,
+                  1.69218
+                ]
+              },
+              {
+                "index_1": [
+                  1.0072
+                ],
+                "index_2": [
+                  0.513783
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.2462912,
+                  0.2717589,
+                  0.3172454,
+                  0.3880013,
+                  0.4592601
+                ],
+                "values": [
+                  1.64533,
+                  1.46253,
+                  1.34066,
+                  1.46253,
+                  1.64533
+                ]
+              },
+              {
+                "index_1": [
+                  1.1205
+                ],
+                "index_2": [
+                  0.328347
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.157174,
+                  0.1767603,
+                  0.2131715,
+                  0.2751726,
+                  0.3409565
+                ],
+                "values": [
+                  1.61619,
+                  1.41591,
+                  1.28238,
+                  1.41591,
+                  1.61619
+                ]
+              },
+              {
+                "index_1": [
+                  0.897214
+                ],
+                "index_2": [
+                  0.473597
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.2283741,
+                  0.2460197,
+                  0.2778632,
+                  0.3361354,
+                  0.4068486
+                ],
+                "values": [
+                  1.79979,
+                  1.70966,
+                  1.64958,
+                  1.70966,
+                  1.79979
+                ]
+              },
+              {
+                "index_1": [
+                  1.0072
+                ],
+                "index_2": [
+                  0.256892
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.1242678,
+                  0.1362497,
+                  0.1581958,
+                  0.206856,
+                  0.2731814
+                ],
+                "values": [
+                  1.78017,
+                  1.67827,
+                  1.61033,
+                  1.67827,
+                  1.78017
+                ]
+              },
+              {
+                "index_1": [
+                  1.1205
+                ],
+                "index_2": [
+                  0.164173
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.0796404,
+                  0.0889027,
+                  0.1063045,
+                  0.1470747,
+                  0.2060379
+                ],
+                "values": [
+                  1.76669,
+                  1.6567,
+                  1.58338,
+                  1.6567,
+                  1.76669
+                ]
+              },
+              {
+                "index_1": [
+                  1.0072
+                ],
+                "index_2": [
+                  0.770675
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.3710349,
+                  0.4103092,
+                  0.4917538,
+                  0.570388,
+                  0.6467322
+                ],
+                "values": [
+                  1.51296,
+                  1.25073,
+                  1.07592,
+                  1.25073,
+                  1.51296
+                ]
+              }
+            ]
+          },
+          "propagated_noise_low": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.08178
+                ],
+                "index_2": [
+                  10.6942
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  5.1822021,
+                  5.3166244,
+                  5.4364347,
+                  5.5629212,
+                  5.7520442
+                ],
+                "values": [
+                  0.020582,
+                  0.0329312,
+                  0.041164,
+                  0.0329312,
+                  0.020582
+                ]
+              },
+              {
+                "index_1": [
+                  1.14289
+                ],
+                "index_2": [
+                  6.43257
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  3.1640202,
+                  3.2305488,
+                  3.2965012,
+                  3.3850033,
+                  3.4655539
+                ],
+                "values": [
+                  0.0544402,
+                  0.0871043,
+                  0.10888,
+                  0.0871043,
+                  0.0544402
+                ]
+              },
+              {
+                "index_1": [
+                  1.19953
+                ],
+                "index_2": [
+                  4.33599
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  2.1696729,
+                  2.2212489,
+                  2.2969828,
+                  2.3746921,
+                  2.4266668
+                ],
+                "values": [
+                  0.143598,
+                  0.229757,
+                  0.287196,
+                  0.229757,
+                  0.143598
+                ]
+              },
+              {
+                "index_1": [
+                  1.08178
+                ],
+                "index_2": [
+                  5.34711
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  2.7154608,
+                  2.7418587,
+                  2.7825195,
+                  2.8884175,
+                  3.0048955
+                ],
+                "values": [
+                  0.0146002,
+                  0.0233603,
+                  0.0292003,
+                  0.0233603,
+                  0.0146002
+                ]
+              },
+              {
+                "index_1": [
+                  1.14289
+                ],
+                "index_2": [
+                  3.21629
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  1.6591627,
+                  1.6806801,
+                  1.7374254,
+                  1.787986,
+                  1.8581506
+                ],
+                "values": [
+                  0.0243452,
+                  0.0389524,
+                  0.0486904,
+                  0.0389524,
+                  0.0243452
+                ]
+              },
+              {
+                "index_1": [
+                  1.19953
+                ],
+                "index_2": [
+                  2.168
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  1.139314,
+                  1.160674,
+                  1.1938806,
+                  1.2426744,
+                  1.290885
+                ],
+                "values": [
+                  0.0368837,
+                  0.0590139,
+                  0.0737673,
+                  0.0590139,
+                  0.0368837
+                ]
+              },
+              {
+                "index_1": [
+                  1.14289
+                ],
+                "index_2": [
+                  9.64886
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  4.7313287,
+                  4.8323162,
+                  4.9316803,
+                  5.0242441,
+                  5.1191068
+                ],
+                "values": [
+                  0.0673239,
+                  0.107718,
+                  0.134648,
+                  0.107718,
+                  0.0673239
+                ]
+              }
+            ]
+          },
+          "stage_type": "both"
+        },
+        "ccsn_last_stage": {
+          "dc_current ccsn_dc": {
+            "index_1": [
+              -1.95,
+              -0.975,
+              -0.39,
+              -0.195,
+              0,
+              0.0975,
+              0.195,
+              0.2925,
+              0.39,
+              0.4875,
+              0.585,
+              0.6825,
+              0.78,
+              0.8775,
+              0.975,
+              1.0725,
+              1.17,
+              1.2675,
+              1.365,
+              1.4625,
+              1.56,
+              1.6575,
+              1.755,
+              1.8525,
+              1.95,
+              2.145,
+              2.34,
+              2.925,
+              3.9
+            ],
+            "index_2": [
+              -1.95,
+              -0.975,
+              -0.39,
+              -0.195,
+              0,
+              0.0975,
+              0.195,
+              0.2925,
+              0.39,
+              0.4875,
+              0.585,
+              0.6825,
+              0.78,
+              0.8775,
+              0.975,
+              1.0725,
+              1.17,
+              1.2675,
+              1.365,
+              1.4625,
+              1.56,
+              1.6575,
+              1.755,
+              1.8525,
+              1.95,
+              2.145,
+              2.34,
+              2.925,
+              3.9
+            ],
+            "values": [
+              [
+                7.67932,
+                0.876201,
+                0.639846,
+                0.616236,
+                0.587251,
+                0.570544,
+                0.552401,
+                0.532848,
+                0.511902,
+                0.489572,
+                0.465871,
+                0.440809,
+                0.414401,
+                0.386663,
+                0.357612,
+                0.327269,
+                0.295654,
+                0.26279,
+                0.228702,
+                0.193416,
+                0.156959,
+                0.11936,
+                0.0806464,
+                0.0408497,
+                -1.06206e-08,
+                -0.0801708,
+                -0.156153,
+                -0.42187,
+                -4.0917
+              ],
+              [
+                7.48511,
+                0.702346,
+                0.487858,
+                0.476099,
+                0.46307,
+                0.455885,
+                0.448091,
+                0.439501,
+                0.429845,
+                0.41875,
+                0.405783,
+                0.390649,
+                0.373295,
+                0.353757,
+                0.332058,
+                0.308205,
+                0.282209,
+                0.254084,
+                0.223849,
+                0.19153,
+                0.15716,
+                0.120777,
+                0.0824228,
+                0.0421464,
+                -1.95288e-09,
+                -0.0838169,
+                -0.163797,
+                -0.440862,
+                -4.09786
+              ],
+              [
+                7.36443,
+                0.569494,
+                0.353146,
+                0.345042,
+                0.336441,
+                0.331895,
+                0.327143,
+                0.322137,
+                0.316815,
+                0.311087,
+                0.304826,
+                0.297834,
+                0.289808,
+                0.280272,
+                0.268619,
+                0.254381,
+                0.237391,
+                0.217603,
+                0.194989,
+                0.169535,
+                0.141236,
+                0.110103,
+                0.0761601,
+                0.0394427,
+                -1.95255e-09,
+                -0.0804852,
+                -0.159062,
+                -0.436054,
+                -4.09704
+              ],
+              [
+                7.32923,
+                0.552579,
+                0.302891,
+                0.295782,
+                0.288317,
+                0.284413,
+                0.280366,
+                0.276148,
+                0.271719,
+                0.267026,
+                0.261996,
+                0.25652,
+                0.250434,
+                0.243471,
+                0.2352,
+                0.22498,
+                0.212176,
+                0.196465,
+                0.177729,
+                0.15591,
+                0.130975,
+                0.102907,
+                0.0717066,
+                0.0373929,
+                -1.95244e-09,
+                -0.0775241,
+                -0.154375,
+                -0.430022,
+                -4.09579
+              ],
+              [
+                7.29164,
+                0.549761,
+                0.251052,
+                0.244853,
+                0.238425,
+                0.235094,
+                0.231669,
+                0.22813,
+                0.224454,
+                0.22061,
+                0.216556,
+                0.212233,
+                0.207554,
+                0.202385,
+                0.196509,
+                0.189554,
+                0.180898,
+                0.169759,
+                0.155597,
+                0.138186,
+                0.117422,
+                0.0932459,
+                0.0656221,
+                0.0345375,
+                -1.96576e-09,
+                -0.0732453,
+                -0.147474,
+                -0.420905,
+                -4.09386
+              ],
+              [
+                7.27241,
+                0.548276,
+                0.225059,
+                0.219085,
+                0.213147,
+                0.210084,
+                0.206945,
+                0.203715,
+                0.200377,
+                0.196907,
+                0.193274,
+                0.189434,
+                0.185325,
+                0.180852,
+                0.175868,
+                0.170121,
+                0.163161,
+                0.154247,
+                0.14256,
+                0.12763,
+                0.109266,
+                0.0873696,
+                0.061879,
+                0.0327596,
+                -2.26552e-09,
+                -0.070526,
+                -0.143049,
+                -0.415004,
+                -4.09257
+              ],
+              [
+                7.25315,
+                0.546886,
+                0.200797,
+                0.193338,
+                0.187872,
+                0.185067,
+                0.182201,
+                0.179265,
+                0.176245,
+                0.173123,
+                0.169876,
+                0.166472,
+                0.162867,
+                0.158996,
+                0.154758,
+                0.149988,
+                0.144391,
+                0.137419,
+                0.12819,
+                0.115891,
+                0.100129,
+                0.0807387,
+                0.057624,
+                0.0307229,
+                -9.21907e-09,
+                -0.0673726,
+                -0.137892,
+                -0.408108,
+                -4.09106
+              ],
+              [
+                7.23406,
+                0.546031,
+                0.183183,
+                0.167842,
+                0.162807,
+                0.16025,
+                0.157648,
+                0.154992,
+                0.152273,
+                0.149477,
+                0.146588,
+                0.143582,
+                0.14043,
+                0.137086,
+                0.133482,
+                0.129513,
+                0.124994,
+                0.119576,
+                0.112572,
+                0.10296,
+                0.0899803,
+                0.0733197,
+                0.0528284,
+                0.0284101,
+                -1.7003e-07,
+                -0.0637508,
+                -0.131942,
+                -0.400146,
+                -4.08927
+              ],
+              [
+                7.21537,
+                0.545945,
+                0.176397,
+                0.14322,
+                0.138192,
+                0.135878,
+                0.13353,
+                0.131144,
+                0.128712,
+                0.126224,
+                0.12367,
+                0.121032,
+                0.11829,
+                0.115413,
+                0.112358,
+                0.109057,
+                0.105397,
+                0.101174,
+                0.0959659,
+                0.0888904,
+                0.0788127,
+                0.0650898,
+                0.0474674,
+                0.0258031,
+                -3.82634e-06,
+                -0.0596318,
+                -0.125146,
+                -0.391056,
+                -4.08721
+              ],
+              [
+                7.19734,
+                0.54692,
+                0.177706,
+                0.121993,
+                0.11431,
+                0.112228,
+                0.110126,
+                0.107999,
+                0.10584,
+                0.103644,
+                0.101402,
+                0.099104,
+                0.0967352,
+                0.0942763,
+                0.0916992,
+                0.0889623,
+                0.0859989,
+                0.0826936,
+                0.0788183,
+                0.0738379,
+                0.066613,
+                0.055991,
+                0.0414678,
+                0.0228254,
+                -8.07813e-05,
+                -0.0550653,
+                -0.117536,
+                -0.380901,
+                -4.08503
+              ],
+              [
+                7.18027,
+                0.549276,
+                0.183236,
+                0.109703,
+                0.0914892,
+                0.0895287,
+                0.0876205,
+                0.0856977,
+                0.0837556,
+                0.08179,
+                0.0797957,
+                0.0777658,
+                0.0756916,
+                0.0735608,
+                0.0713566,
+                0.0690542,
+                0.0666156,
+                0.0639785,
+                0.0610271,
+                0.0575038,
+                0.0526906,
+                0.0451656,
+                0.0338886,
+                0.0184741,
+                -0.0012829,
+                -0.0511903,
+                -0.110341,
+                -0.371273,
+                -4.08512
+              ],
+              [
+                7.16449,
+                0.55336,
+                0.191368,
+                0.107643,
+                0.0701076,
+                0.0672637,
+                0.06517,
+                0.0630928,
+                0.0610088,
+                0.0589126,
+                0.0568003,
+                0.0546679,
+                0.0525103,
+                0.0503207,
+                0.0480899,
+                0.0458043,
+                0.0434439,
+                0.0409768,
+                0.0383479,
+                0.0354459,
+                0.0319687,
+                0.0269111,
+                0.0186438,
+                0.00633225,
+                -0.0103369,
+                -0.0553342,
+                -0.111489,
+                -0.372076,
+                -4.10138
+              ],
+              [
+                7.15037,
+                0.559558,
+                0.201694,
+                0.111391,
+                0.0506001,
+                0.0423317,
+                0.0388847,
+                0.0358422,
+                0.0328796,
+                0.0299454,
+                0.0270227,
+                0.0241035,
+                0.0211824,
+                0.0182544,
+                0.0153142,
+                0.0123548,
+                0.00936644,
+                0.00633422,
+                0.00323392,
+                2.10191e-05,
+                -0.00340703,
+                -0.00742552,
+                -0.0134336,
+                -0.0230888,
+                -0.0370101,
+                -0.0777746,
+                -0.131753,
+                -0.395752,
+                -4.14963
+              ],
+              [
+                7.13835,
+                0.568298,
+                0.214273,
+                0.118038,
+                0.0334668,
+                0.0128863,
+                0.00550747,
+                0.000839129,
+                -0.00331723,
+                -0.00728694,
+                -0.0111627,
+                -0.0149821,
+                -0.018764,
+                -0.0225196,
+                -0.0262564,
+                -0.0299805,
+                -0.0336981,
+                -0.0374165,
+                -0.0411459,
+                -0.0449025,
+                -0.0487182,
+                -0.0526818,
+                -0.0572892,
+                -0.06437,
+                -0.0753911,
+                -0.111497,
+                -0.162988,
+                -0.430892,
+                -4.21164
+              ],
+              [
+                7.12888,
+                0.580069,
+                0.229396,
+                0.126606,
+                0.0192952,
+                -0.0157997,
+                -0.0306447,
+                -0.0376104,
+                -0.0429772,
+                -0.0478171,
+                -0.052406,
+                -0.056849,
+                -0.0611955,
+                -0.0654725,
+                -0.0696962,
+                -0.0738773,
+                -0.0780233,
+                -0.0821403,
+                -0.0862344,
+                -0.0903123,
+                -0.0943841,
+                -0.0984688,
+                -0.102639,
+                -0.107579,
+                -0.115422,
+                -0.145734,
+                -0.193653,
+                -0.463786,
+                -4.268
+              ],
+              [
+                7.12248,
+                0.595447,
+                0.247532,
+                0.137056,
+                0.00880236,
+                -0.0398668,
+                -0.0645305,
+                -0.074744,
+                -0.0814255,
+                -0.0870352,
+                -0.092164,
+                -0.0970241,
+                -0.101712,
+                -0.10628,
+                -0.110757,
+                -0.115163,
+                -0.119511,
+                -0.123811,
+                -0.128069,
+                -0.132292,
+                -0.136487,
+                -0.140662,
+                -0.144828,
+                -0.149056,
+                -0.15438,
+                -0.178059,
+                -0.221461,
+                -0.492678,
+                -4.31667
+              ],
+              [
+                7.11978,
+                0.61512,
+                0.269251,
+                0.149817,
+                0.00269878,
+                -0.0580194,
+                -0.0934375,
+                -0.108127,
+                -0.116392,
+                -0.122811,
+                -0.128451,
+                -0.133676,
+                -0.138646,
+                -0.143445,
+                -0.148122,
+                -0.152707,
+                -0.157221,
+                -0.161678,
+                -0.166091,
+                -0.170467,
+                -0.174815,
+                -0.179143,
+                -0.183459,
+                -0.187776,
+                -0.192304,
+                -0.209361,
+                -0.247463,
+                -0.519184,
+                -4.36028
+              ],
+              [
+                7.12144,
+                0.639492,
+                0.294366,
+                0.164628,
+                0.00052844,
+                -0.0708722,
+                -0.11713,
+                -0.137771,
+                -0.148139,
+                -0.155603,
+                -0.16191,
+                -0.167625,
+                -0.172989,
+                -0.178125,
+                -0.183102,
+                -0.187962,
+                -0.192735,
+                -0.197441,
+                -0.202093,
+                -0.206703,
+                -0.211281,
+                -0.215836,
+                -0.220377,
+                -0.224914,
+                -0.229493,
+                -0.241695,
+                -0.2732,
+                -0.54464,
+                -4.40083
+              ],
+              [
+                7.12738,
+                0.667238,
+                0.320729,
+                0.17949,
+                9.18172e-05,
+                -0.0807956,
+                -0.137416,
+                -0.165401,
+                -0.178502,
+                -0.187268,
+                -0.194387,
+                -0.200691,
+                -0.206523,
+                -0.212052,
+                -0.217372,
+                -0.222542,
+                -0.227598,
+                -0.232566,
+                -0.237467,
+                -0.242313,
+                -0.247117,
+                -0.25189,
+                -0.256642,
+                -0.261386,
+                -0.266139,
+                -0.276371,
+                -0.300026,
+                -0.569843,
+                -4.43948
+              ],
+              [
+                7.13565,
+                0.696136,
+                0.346377,
+                0.193004,
+                1.68844e-05,
+                -0.0892185,
+                -0.155357,
+                -0.191709,
+                -0.208225,
+                -0.218515,
+                -0.226536,
+                -0.233471,
+                -0.239789,
+                -0.245717,
+                -0.251379,
+                -0.256848,
+                -0.262175,
+                -0.267392,
+                -0.272522,
+                -0.277584,
+                -0.282592,
+                -0.287559,
+                -0.292498,
+                -0.297422,
+                -0.302345,
+                -0.312363,
+                -0.329009,
+                -0.595259,
+                -4.47686
+              ],
+              [
+                7.14448,
+                0.725279,
+                0.370616,
+                0.20498,
+                3.09334e-06,
+                -0.0965517,
+                -0.171259,
+                -0.216566,
+                -0.237301,
+                -0.249375,
+                -0.258395,
+                -0.266001,
+                -0.272822,
+                -0.279152,
+                -0.285152,
+                -0.290915,
+                -0.296501,
+                -0.301953,
+                -0.307299,
+                -0.312561,
+                -0.317756,
+                -0.3229,
+                -0.328006,
+                -0.333091,
+                -0.338169,
+                -0.348393,
+                -0.36087,
+                -0.621171,
+                -4.51336
+              ],
+              [
+                7.15338,
+                0.754466,
+                0.393147,
+                0.215533,
+                4.92373e-07,
+                -0.102967,
+                -0.185317,
+                -0.239704,
+                -0.265582,
+                -0.279769,
+                -0.289909,
+                -0.29824,
+                -0.305587,
+                -0.312331,
+                -0.31867,
+                -0.324724,
+                -0.330565,
+                -0.336245,
+                -0.341797,
+                -0.347249,
+                -0.35262,
+                -0.357929,
+                -0.363191,
+                -0.368424,
+                -0.373643,
+                -0.38412,
+                -0.395223,
+                -0.647759,
+                -4.54923
+              ],
+              [
+                7.16227,
+                0.783628,
+                0.413761,
+                0.224817,
+                5.23722e-08,
+                -0.108584,
+                -0.19772,
+                -0.2609,
+                -0.292894,
+                -0.309611,
+                -0.321023,
+                -0.330144,
+                -0.338051,
+                -0.345224,
+                -0.351912,
+                -0.358259,
+                -0.364355,
+                -0.370259,
+                -0.376013,
+                -0.381649,
+                -0.38719,
+                -0.392656,
+                -0.398066,
+                -0.403438,
+                -0.408789,
+                -0.419513,
+                -0.430499,
+                -0.675142,
+                -4.58465
+              ],
+              [
+                7.17111,
+                0.812719,
+                0.432324,
+                0.232976,
+                6.49319e-09,
+                -0.113502,
+                -0.208649,
+                -0.280024,
+                -0.319044,
+                -0.338807,
+                -0.351682,
+                -0.361677,
+                -0.370185,
+                -0.37781,
+                -0.384859,
+                -0.391506,
+                -0.397858,
+                -0.403988,
+                -0.409943,
+                -0.415761,
+                -0.421468,
+                -0.427087,
+                -0.43264,
+                -0.438144,
+                -0.443622,
+                -0.45458,
+                -0.465723,
+                -0.703395,
+                -4.61974
+              ],
+              [
+                7.17991,
+                0.841696,
+                0.448794,
+                0.240136,
+                4.82653e-09,
+                -0.117805,
+                -0.218272,
+                -0.297069,
+                -0.343821,
+                -0.36726,
+                -0.381834,
+                -0.392804,
+                -0.401965,
+                -0.410071,
+                -0.417497,
+                -0.424453,
+                -0.431069,
+                -0.437427,
+                -0.443584,
+                -0.449583,
+                -0.455455,
+                -0.461226,
+                -0.466918,
+                -0.472553,
+                -0.478152,
+                -0.489335,
+                -0.500674,
+                -0.732565,
+                -4.6546
+              ],
+              [
+                7.19739,
+                0.89901,
+                0.475773,
+                0.2519,
+                7.68233e-09,
+                -0.124849,
+                -0.234179,
+                -0.325375,
+                -0.388381,
+                -0.421472,
+                -0.440393,
+                -0.453713,
+                -0.464376,
+                -0.473553,
+                -0.4818,
+                -0.489419,
+                -0.496587,
+                -0.503419,
+                -0.509992,
+                -0.516361,
+                -0.522565,
+                -0.528639,
+                -0.534608,
+                -0.540499,
+                -0.546336,
+                -0.557951,
+                -0.56968,
+                -0.79372,
+                -4.72392
+              ],
+              [
+                7.21468,
+                0.955368,
+                0.495946,
+                0.260854,
+                1.25095e-08,
+                -0.130194,
+                -0.246431,
+                -0.347154,
+                -0.425141,
+                -0.471126,
+                -0.496179,
+                -0.51259,
+                -0.52511,
+                -0.535554,
+                -0.54474,
+                -0.553097,
+                -0.560869,
+                -0.568211,
+                -0.575224,
+                -0.58198,
+                -0.58853,
+                -0.594914,
+                -0.601166,
+                -0.607315,
+                -0.613389,
+                -0.625427,
+                -0.637528,
+                -0.858359,
+                -4.79299
+              ],
+              [
+                7.26519,
+                1.11236,
+                0.529533,
+                0.275979,
+                4.31658e-08,
+                -0.139259,
+                -0.26808,
+                -0.386052,
+                -0.491687,
+                -0.579232,
+                -0.638362,
+                -0.67284,
+                -0.694975,
+                -0.711286,
+                -0.724481,
+                -0.7358,
+                -0.745889,
+                -0.755116,
+                -0.76371,
+                -0.771823,
+                -0.779559,
+                -0.786994,
+                -0.794185,
+                -0.801179,
+                -0.808018,
+                -0.82138,
+                -0.834582,
+                -1.05682,
+                -4.99781
+              ],
+              [
+                7.3393,
+                1.26641,
+                0.540408,
+                0.279681,
+                1.70245e-07,
+                -0.141892,
+                -0.276838,
+                -0.404727,
+                -0.525387,
+                -0.638425,
+                -0.74263,
+                -0.834146,
+                -0.904919,
+                -0.952041,
+                -0.983262,
+                -1.00589,
+                -1.02374,
+                -1.03868,
+                -1.0517,
+                -1.06339,
+                -1.07409,
+                -1.08405,
+                -1.09343,
+                -1.10235,
+                -1.11088,
+                -1.12709,
+                -1.14252,
+                -1.36861,
+                -5.31383
+              ]
+            ]
+          },
+          "is_inverting": "true",
+          "is_needed": "true",
+          "miller_cap_fall": 0.000739184,
+          "miller_cap_rise": 0.000578439,
+          "output_voltage_fall": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.00735618
+                ],
+                "index_3": [
+                  0.0144857,
+                  0.021846,
+                  0.0296546,
+                  0.0380638,
+                  0.0488692
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              },
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.0220685
+                ],
+                "index_3": [
+                  0.0206742,
+                  0.0406903,
+                  0.0618279,
+                  0.084553,
+                  0.1136395
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.00735618
+                ],
+                "index_3": [
+                  0.0616108,
+                  0.0766727,
+                  0.0879621,
+                  0.0979176,
+                  0.108843
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.0220685
+                ],
+                "index_3": [
+                  0.0748644,
+                  0.1005019,
+                  0.1216158,
+                  0.1445416,
+                  0.1745483
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              }
+            ]
+          },
+          "output_voltage_rise": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.00366807
+                ],
+                "index_3": [
+                  0.0179593,
+                  0.0263871,
+                  0.0355364,
+                  0.0464587,
+                  0.0654984
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              },
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.0110042
+                ],
+                "index_3": [
+                  0.0247705,
+                  0.0459922,
+                  0.0691766,
+                  0.0965374,
+                  0.1416547
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.00366807
+                ],
+                "index_3": [
+                  0.0751528,
+                  0.0904477,
+                  0.1014917,
+                  0.1123985,
+                  0.1313127
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.0110042
+                ],
+                "index_3": [
+                  0.0871259,
+                  0.1113172,
+                  0.1343853,
+                  0.1616753,
+                  0.2067961
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              }
+            ]
+          },
+          "propagated_noise_high": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  0.926795
+                ],
+                "index_2": [
+                  0.80078
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.3909553,
+                  0.4174164,
+                  0.4589839,
+                  0.5138495,
+                  0.5525268
+                ],
+                "values": [
+                  1.62602,
+                  1.43163,
+                  1.30204,
+                  1.43163,
+                  1.62602
+                ]
+              },
+              {
+                "index_1": [
+                  1.04513
+                ],
+                "index_2": [
+                  0.427825
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.2106081,
+                  0.2311343,
+                  0.2649688,
+                  0.3088477,
+                  0.3408965
+                ],
+                "values": [
+                  1.53266,
+                  1.28226,
+                  1.11533,
+                  1.28226,
+                  1.53266
+                ]
+              },
+              {
+                "index_1": [
+                  1.16732
+                ],
+                "index_2": [
+                  0.270805
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.1348573,
+                  0.1513377,
+                  0.1834436,
+                  0.2153154,
+                  0.2418433
+                ],
+                "values": [
+                  1.48347,
+                  1.20355,
+                  1.01694,
+                  1.20355,
+                  1.48347
+                ]
+              },
+              {
+                "index_1": [
+                  0.926795
+                ],
+                "index_2": [
+                  0.40039
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.1983918,
+                  0.2125447,
+                  0.2439203,
+                  0.2689111,
+                  0.2958029
+                ],
+                "values": [
+                  1.76427,
+                  1.65283,
+                  1.57853,
+                  1.65283,
+                  1.76427
+                ]
+              },
+              {
+                "index_1": [
+                  1.16732
+                ],
+                "index_2": [
+                  0.135402
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.0702377,
+                  0.0787597,
+                  0.091361,
+                  0.1146539,
+                  0.1347185
+                ],
+                "values": [
+                  1.70394,
+                  1.55631,
+                  1.45789,
+                  1.55631,
+                  1.70394
+                ]
+              },
+              {
+                "index_1": [
+                  1.04513
+                ],
+                "index_2": [
+                  0.427825
+                ],
+                "index_3": [
+                  0.0220685
+                ],
+                "index_4": [
+                  0.2123767,
+                  0.2349324,
+                  0.2769634,
+                  0.3267411,
+                  0.3795024
+                ],
+                "values": [
+                  1.76757,
+                  1.65812,
+                  1.58515,
+                  1.65812,
+                  1.76757
+                ]
+              },
+              {
+                "index_1": [
+                  1.16732
+                ],
+                "index_2": [
+                  0.270805
+                ],
+                "index_3": [
+                  0.0220685
+                ],
+                "index_4": [
+                  0.1363905,
+                  0.1546725,
+                  0.1919711,
+                  0.2308602,
+                  0.2748328
+                ],
+                "values": [
+                  1.75015,
+                  1.63024,
+                  1.5503,
+                  1.63024,
+                  1.75015
+                ]
+              }
+            ]
+          },
+          "propagated_noise_low": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.15341
+                ],
+                "index_2": [
+                  0.96143
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.4702677,
+                  0.4897436,
+                  0.5124296,
+                  0.5446385,
+                  0.5640324
+                ],
+                "values": [
+                  0.174849,
+                  0.279759,
+                  0.349699,
+                  0.279759,
+                  0.174849
+                ]
+              },
+              {
+                "index_1": [
+                  1.27397
+                ],
+                "index_2": [
+                  0.560477
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.2762441,
+                  0.293478,
+                  0.321592,
+                  0.3473403,
+                  0.36446
+                ],
+                "values": [
+                  0.336067,
+                  0.537708,
+                  0.672135,
+                  0.537708,
+                  0.336067
+                ]
+              },
+              {
+                "index_1": [
+                  1.38158
+                ],
+                "index_2": [
+                  0.382163
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.1896988,
+                  0.2057282,
+                  0.227276,
+                  0.2535829,
+                  0.268695
+                ],
+                "values": [
+                  0.426148,
+                  0.681837,
+                  0.852296,
+                  0.681837,
+                  0.426148
+                ]
+              },
+              {
+                "index_1": [
+                  1.15341
+                ],
+                "index_2": [
+                  0.480715
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.2366596,
+                  0.2475874,
+                  0.2618871,
+                  0.2789562,
+                  0.2909946
+                ],
+                "values": [
+                  0.111232,
+                  0.177971,
+                  0.222464,
+                  0.177971,
+                  0.111232
+                ]
+              },
+              {
+                "index_1": [
+                  1.38158
+                ],
+                "index_2": [
+                  0.191081
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.0976949,
+                  0.1059943,
+                  0.1190984,
+                  0.1314656,
+                  0.1398361
+                ],
+                "values": [
+                  0.235338,
+                  0.376541,
+                  0.470677,
+                  0.376541,
+                  0.235338
+                ]
+              },
+              {
+                "index_1": [
+                  1.27397
+                ],
+                "index_2": [
+                  0.560477
+                ],
+                "index_3": [
+                  0.0110042
+                ],
+                "index_4": [
+                  0.2760895,
+                  0.296862,
+                  0.3281016,
+                  0.3588566,
+                  0.3787782
+                ],
+                "values": [
+                  0.164087,
+                  0.26254,
+                  0.328175,
+                  0.26254,
+                  0.164087
+                ]
+              },
+              {
+                "index_1": [
+                  1.38158
+                ],
+                "index_2": [
+                  0.382163
+                ],
+                "index_3": [
+                  0.0110042
+                ],
+                "index_4": [
+                  0.1907028,
+                  0.208358,
+                  0.2393691,
+                  0.2627517,
+                  0.2796988
+                ],
+                "values": [
+                  0.196862,
+                  0.314979,
+                  0.393724,
+                  0.314979,
+                  0.196862
+                ]
+              }
+            ]
+          },
+          "stage_type": "both"
+        },
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00139084,
+            0.00386886,
+            0.0107619,
+            0.0299362,
+            0.0832729,
+            0.231638
+          ],
+          "values": [
+            [
+              0.1917882,
+              0.1982329,
+              0.2126969,
+              0.2422866,
+              0.3026399,
+              0.4331027,
+              0.7588895
+            ],
+            [
+              0.1946539,
+              0.2011016,
+              0.2154692,
+              0.2451332,
+              0.3053134,
+              0.4357881,
+              0.7611619
+            ],
+            [
+              0.2050708,
+              0.2113651,
+              0.2256966,
+              0.2554803,
+              0.315615,
+              0.4461402,
+              0.771984
+            ],
+            [
+              0.2296314,
+              0.2360065,
+              0.2504674,
+              0.2799232,
+              0.3403617,
+              0.4708425,
+              0.7966534
+            ],
+            [
+              0.2796739,
+              0.2860903,
+              0.3004064,
+              0.3302679,
+              0.3905546,
+              0.5208065,
+              0.8465538
+            ],
+            [
+              0.3663944,
+              0.3732942,
+              0.3883542,
+              0.4193895,
+              0.4821936,
+              0.614762,
+              0.9410878
+            ],
+            [
+              0.5235845,
+              0.5310956,
+              0.5477204,
+              0.5821196,
+              0.6501603,
+              0.78879,
+              1.1184506
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00139084,
+            0.00386886,
+            0.0107619,
+            0.0299362,
+            0.0832729,
+            0.231638
+          ],
+          "values": [
+            [
+              0.0514645,
+              0.0571594,
+              0.0708366,
+              0.1047588,
+              0.1928425,
+              0.4318673,
+              1.0921114
+            ],
+            [
+              0.0548171,
+              0.0604859,
+              0.0741035,
+              0.1079314,
+              0.1960065,
+              0.4352229,
+              1.0954028
+            ],
+            [
+              0.0633678,
+              0.0688948,
+              0.0822778,
+              0.1155949,
+              0.2037941,
+              0.4429468,
+              1.1050528
+            ],
+            [
+              0.0775488,
+              0.0831872,
+              0.0965873,
+              0.1293676,
+              0.2172866,
+              0.4564684,
+              1.1165316
+            ],
+            [
+              0.0886791,
+              0.09501,
+              0.1087754,
+              0.141364,
+              0.2288498,
+              0.4683471,
+              1.1309989
+            ],
+            [
+              0.077356,
+              0.0849948,
+              0.1011261,
+              0.1346241,
+              0.2209816,
+              0.4598326,
+              1.1230717
+            ],
+            [
+              -0.0001792,
+              0.0090142,
+              0.0289283,
+              0.0673257,
+              0.1532234,
+              0.3918614,
+              1.0548746
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00139084,
+            0.00386886,
+            0.0107619,
+            0.0299362,
+            0.0832729,
+            0.231638
+          ],
+          "values": [
+            [
+              0.0261816,
+              0.0308829,
+              0.0418884,
+              0.0662528,
+              0.1217832,
+              0.2620765,
+              0.6730226
+            ],
+            [
+              0.0260541,
+              0.0307341,
+              0.0419506,
+              0.0658414,
+              0.1218971,
+              0.262526,
+              0.6698097
+            ],
+            [
+              0.0260884,
+              0.0306431,
+              0.0417143,
+              0.0659069,
+              0.1219361,
+              0.2623403,
+              0.6746224
+            ],
+            [
+              0.0261799,
+              0.0308792,
+              0.0419846,
+              0.0660045,
+              0.1217833,
+              0.2622476,
+              0.6730064
+            ],
+            [
+              0.0264557,
+              0.0310298,
+              0.0421126,
+              0.0659247,
+              0.1210749,
+              0.2632413,
+              0.670591
+            ],
+            [
+              0.0294391,
+              0.0343156,
+              0.0452891,
+              0.0712235,
+              0.1259172,
+              0.2655554,
+              0.670265
+            ],
+            [
+              0.0366779,
+              0.0418291,
+              0.0536511,
+              0.0799603,
+              0.1372638,
+              0.2774652,
+              0.6774717
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00139084,
+            0.00386886,
+            0.0107619,
+            0.0299362,
+            0.0832729,
+            0.231638
+          ],
+          "values": [
+            [
+              0.0167717,
+              0.0224816,
+              0.0378795,
+              0.081362,
+              0.2024877,
+              0.5423303,
+              1.4933672
+            ],
+            [
+              0.0166628,
+              0.0223037,
+              0.0377844,
+              0.0812216,
+              0.2025201,
+              0.5432187,
+              1.4931287
+            ],
+            [
+              0.0163737,
+              0.0219899,
+              0.037446,
+              0.0808979,
+              0.2027214,
+              0.5429157,
+              1.4916023
+            ],
+            [
+              0.0177691,
+              0.0230809,
+              0.0378139,
+              0.0807414,
+              0.2026614,
+              0.5431955,
+              1.4932794
+            ],
+            [
+              0.0210405,
+              0.0260896,
+              0.0397854,
+              0.0810237,
+              0.2019032,
+              0.5424526,
+              1.4896947
+            ],
+            [
+              0.0264199,
+              0.0325389,
+              0.0454166,
+              0.0832025,
+              0.203009,
+              0.5418188,
+              1.490793
+            ],
+            [
+              0.033958,
+              0.0412994,
+              0.0574366,
+              0.0918026,
+              0.2045611,
+              0.5447993,
+              1.4900569
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "ccsn_first_stage": {
+          "dc_current ccsn_dc": {
+            "index_1": [
+              -1.95,
+              -0.975,
+              -0.39,
+              -0.195,
+              0,
+              0.0975,
+              0.195,
+              0.2925,
+              0.39,
+              0.4875,
+              0.585,
+              0.6825,
+              0.78,
+              0.8775,
+              0.975,
+              1.0725,
+              1.17,
+              1.2675,
+              1.365,
+              1.4625,
+              1.56,
+              1.6575,
+              1.755,
+              1.8525,
+              1.95,
+              2.145,
+              2.34,
+              2.925,
+              3.9
+            ],
+            "index_2": [
+              -1.95,
+              -0.975,
+              -0.39,
+              -0.195,
+              0,
+              0.0975,
+              0.195,
+              0.2925,
+              0.39,
+              0.4875,
+              0.585,
+              0.6825,
+              0.78,
+              0.8775,
+              0.975,
+              1.0725,
+              1.17,
+              1.2675,
+              1.365,
+              1.4625,
+              1.56,
+              1.6575,
+              1.755,
+              1.8525,
+              1.95,
+              2.145,
+              2.34,
+              2.925,
+              3.9
+            ],
+            "values": [
+              [
+                9.45854,
+                0.622853,
+                0.0533184,
+                0.0526971,
+                0.0521016,
+                0.0517875,
+                0.0514605,
+                0.0511185,
+                0.0507585,
+                0.0503767,
+                0.049967,
+                0.0495204,
+                0.0490219,
+                0.0484434,
+                0.047721,
+                0.0466756,
+                0.0449781,
+                0.0424116,
+                0.0389179,
+                0.0344984,
+                0.0291829,
+                0.0230176,
+                0.0160584,
+                0.00836531,
+                -6.18289e-08,
+                -0.0181231,
+                -0.0374879,
+                -0.148521,
+                -1.78781
+              ],
+              [
+                9.45874,
+                0.623132,
+                0.0536215,
+                0.0529969,
+                0.0523978,
+                0.0520818,
+                0.0517528,
+                0.0514087,
+                0.0510465,
+                0.0506623,
+                0.05025,
+                0.0498006,
+                0.0492989,
+                0.0487168,
+                0.0479899,
+                0.0469393,
+                0.0452355,
+                0.0426603,
+                0.0391541,
+                0.0347173,
+                0.0293781,
+                0.0231809,
+                0.0161796,
+                0.00843279,
+                -5.66955e-08,
+                -0.0182868,
+                -0.0378432,
+                -0.149087,
+                -1.78792
+              ],
+              [
+                9.45841,
+                0.625852,
+                0.0526567,
+                0.0520509,
+                0.0514718,
+                0.0511662,
+                0.050848,
+                0.0505151,
+                0.0501647,
+                0.0497929,
+                0.0493938,
+                0.0489588,
+                0.0484729,
+                0.0479089,
+                0.0472037,
+                0.0461803,
+                0.0445134,
+                0.0419888,
+                0.0385475,
+                0.0341891,
+                0.0289402,
+                0.0228434,
+                0.0159504,
+                0.00831687,
+                -5.01965e-08,
+                -0.0180569,
+                -0.0373951,
+                -0.148579,
+                -1.78783
+              ],
+              [
+                9.45903,
+                0.642693,
+                0.0518596,
+                0.0512689,
+                0.0507057,
+                0.0504085,
+                0.050099,
+                0.0497751,
+                0.0494341,
+                0.0490722,
+                0.0486838,
+                0.0482602,
+                0.0477871,
+                0.0472376,
+                0.0465498,
+                0.0455485,
+                0.0439114,
+                0.0414276,
+                0.0380389,
+                0.0337444,
+                0.0285697,
+                0.022556,
+                0.0157535,
+                0.00821636,
+                -4.64987e-08,
+                -0.0178539,
+                -0.0369957,
+                -0.148107,
+                -1.78774
+              ],
+              [
+                9.45997,
+                0.675029,
+                0.050616,
+                0.0500351,
+                0.049497,
+                0.0492129,
+                0.0489169,
+                0.0486072,
+                0.048281,
+                0.0479347,
+                0.0475629,
+                0.0471574,
+                0.0467044,
+                0.0461778,
+                0.0455175,
+                0.044551,
+                0.0429612,
+                0.0405426,
+                0.0372378,
+                0.0330448,
+                0.0279875,
+                0.0221051,
+                0.015445,
+                0.00805908,
+                -4.13966e-08,
+                -0.0175385,
+                -0.0363787,
+                -0.147391,
+                -1.78762
+              ],
+              [
+                9.4604,
+                0.693676,
+                0.0499295,
+                0.0491616,
+                0.0486413,
+                0.0483665,
+                0.0480802,
+                0.0477804,
+                0.0474647,
+                0.0471295,
+                0.0467696,
+                0.0463769,
+                0.045938,
+                0.0454277,
+                0.0447869,
+                0.0438452,
+                0.0422895,
+                0.0399177,
+                0.0366731,
+                0.0325528,
+                0.0275792,
+                0.0217896,
+                0.0152299,
+                0.0079497,
+                -3.87847e-08,
+                -0.0173215,
+                -0.0359576,
+                -0.146914,
+                -1.78753
+              ],
+              [
+                9.46076,
+                0.712844,
+                0.0505546,
+                0.0480393,
+                0.0475406,
+                0.0472778,
+                0.0470039,
+                0.0467171,
+                0.046415,
+                0.046094,
+                0.0457494,
+                0.0453732,
+                0.0449526,
+                0.0444633,
+                0.0438477,
+                0.0429382,
+                0.041427,
+                0.0391167,
+                0.0359508,
+                0.0319251,
+                0.0270597,
+                0.0213896,
+                0.014958,
+                0.0078119,
+                -3.97731e-08,
+                -0.0170515,
+                -0.0354377,
+                -0.146338,
+                -1.78743
+              ],
+              [
+                9.461,
+                0.732138,
+                0.0562196,
+                0.0465959,
+                0.0460971,
+                0.0458502,
+                0.0455927,
+                0.045323,
+                0.0450387,
+                0.0447367,
+                0.0444121,
+                0.0440577,
+                0.0436613,
+                0.0431996,
+                0.0426173,
+                0.0417508,
+                0.0402995,
+                0.0380718,
+                0.0350116,
+                0.0311119,
+                0.0263897,
+                0.020876,
+                0.0146104,
+                0.00763655,
+                -1.31204e-07,
+                -0.0167139,
+                -0.0347944,
+                -0.145646,
+                -1.7873
+              ],
+              [
+                9.46099,
+                0.751223,
+                0.0690035,
+                0.0450239,
+                0.0441488,
+                0.0439235,
+                0.0436884,
+                0.0434419,
+                0.043182,
+                0.0429055,
+                0.0426083,
+                0.0422836,
+                0.0419199,
+                0.0414958,
+                0.0409589,
+                0.0401515,
+                0.0387839,
+                0.0366722,
+                0.0337591,
+                0.0300334,
+                0.0255062,
+                0.0202029,
+                0.0141573,
+                0.00740844,
+                -2.26106e-06,
+                -0.0162904,
+                -0.0339969,
+                -0.144819,
+                -1.78716
+              ],
+              [
+                9.46051,
+                0.769641,
+                0.0860306,
+                0.0450304,
+                0.0414,
+                0.0412004,
+                0.0409952,
+                0.0407797,
+                0.0405522,
+                0.04031,
+                0.0400493,
+                0.0397643,
+                0.0394448,
+                0.0390717,
+                0.0385972,
+                0.0378735,
+                0.0366277,
+                0.034687,
+                0.0319912,
+                0.0285183,
+                0.0242691,
+                0.0192591,
+                0.0135142,
+                0.00706645,
+                -4.73994e-05,
+                -0.0157941,
+                -0.0330439,
+                -0.143877,
+                -1.78703
+              ],
+              [
+                9.45908,
+                0.786623,
+                0.103701,
+                0.0499225,
+                0.0372265,
+                0.0369697,
+                0.0367667,
+                0.0365559,
+                0.0363349,
+                0.0361013,
+                0.0358523,
+                0.0355831,
+                0.035286,
+                0.0349456,
+                0.0345225,
+                0.0338886,
+                0.0328122,
+                0.0311479,
+                0.0288011,
+                0.0257237,
+                0.0218956,
+                0.0173154,
+                0.0119963,
+                0.00596276,
+                -0.00075366,
+                -0.0158084,
+                -0.0325062,
+                -0.143433,
+                -1.78759
+              ],
+              [
+                9.4558,
+                0.80086,
+                0.119118,
+                0.0582241,
+                0.0302685,
+                0.0290256,
+                0.0284906,
+                0.0279729,
+                0.0274518,
+                0.0269234,
+                0.0263858,
+                0.025837,
+                0.0252743,
+                0.0246926,
+                0.0240807,
+                0.0234034,
+                0.0226007,
+                0.021449,
+                0.0197419,
+                0.0173609,
+                0.0142308,
+                0.0103192,
+                0.0056258,
+                0.000171039,
+                -0.006013,
+                -0.0202066,
+                -0.0362748,
+                -0.147518,
+                -1.79308
+              ],
+              [
+                9.45134,
+                0.813087,
+                0.132089,
+                0.0662563,
+                0.0208784,
+                0.0143782,
+                0.0123365,
+                0.0107084,
+                0.00916263,
+                0.00765104,
+                0.00616184,
+                0.00469504,
+                0.00326058,
+                0.00188713,
+                0.000650257,
+                -0.000298411,
+                -0.000967143,
+                -0.00169623,
+                -0.00262669,
+                -0.00386702,
+                -0.00567985,
+                -0.00837356,
+                -0.0120362,
+                -0.016623,
+                -0.0220661,
+                -0.0352013,
+                -0.0506023,
+                -0.162601,
+                -1.81028
+              ],
+              [
+                9.4474,
+                0.825795,
+                0.144981,
+                0.0736899,
+                0.0120241,
+                -0.00527052,
+                -0.0118991,
+                -0.0153845,
+                -0.0182742,
+                -0.0209457,
+                -0.0234886,
+                -0.0259198,
+                -0.0282148,
+                -0.0302959,
+                -0.0319899,
+                -0.0330775,
+                -0.0338051,
+                -0.0345239,
+                -0.0353133,
+                -0.0361795,
+                -0.0371319,
+                -0.0382649,
+                -0.0400748,
+                -0.0431503,
+                -0.0474287,
+                -0.0591401,
+                -0.073794,
+                -0.187006,
+                -1.83746
+              ],
+              [
+                9.4449,
+                0.840264,
+                0.158801,
+                0.0805751,
+                0.00528476,
+                -0.0225315,
+                -0.0379786,
+                -0.0447914,
+                -0.0492558,
+                -0.0530014,
+                -0.0563878,
+                -0.0595061,
+                -0.062339,
+                -0.0647703,
+                -0.0665438,
+                -0.0675911,
+                -0.0683628,
+                -0.0690967,
+                -0.0698321,
+                -0.0705665,
+                -0.0712954,
+                -0.0720205,
+                -0.0727674,
+                -0.0738597,
+                -0.0763371,
+                -0.0860471,
+                -0.0998255,
+                -0.214689,
+                -1.86832
+              ],
+              [
+                9.44434,
+                0.857213,
+                0.173835,
+                0.0877383,
+                0.00153073,
+                -0.034329,
+                -0.0592962,
+                -0.0721592,
+                -0.0789671,
+                -0.0839311,
+                -0.0881062,
+                -0.0917698,
+                -0.0949468,
+                -0.0974752,
+                -0.0991093,
+                -0.10011,
+                -0.100894,
+                -0.101596,
+                -0.102245,
+                -0.102856,
+                -0.103436,
+                -0.10399,
+                -0.104525,
+                -0.105056,
+                -0.105994,
+                -0.113046,
+                -0.125825,
+                -0.24282,
+                -1.89993
+              ],
+              [
+                9.44541,
+                0.876156,
+                0.189063,
+                0.0952224,
+                0.00030294,
+                -0.0415759,
+                -0.0742091,
+                -0.0951123,
+                -0.10584,
+                -0.11251,
+                -0.117609,
+                -0.121811,
+                -0.125226,
+                -0.127669,
+                -0.129159,
+                -0.130158,
+                -0.130954,
+                -0.131646,
+                -0.132278,
+                -0.132869,
+                -0.133431,
+                -0.133972,
+                -0.134494,
+                -0.135004,
+                -0.135573,
+                -0.139774,
+                -0.151276,
+                -0.270704,
+                -1.93148
+              ],
+              [
+                9.44708,
+                0.895623,
+                0.20264,
+                0.10198,
+                5.74471e-05,
+                -0.04641,
+                -0.0848007,
+                -0.113319,
+                -0.129981,
+                -0.139312,
+                -0.145667,
+                -0.150487,
+                -0.154052,
+                -0.156351,
+                -0.157792,
+                -0.158838,
+                -0.159699,
+                -0.160459,
+                -0.161158,
+                -0.161815,
+                -0.162442,
+                -0.163046,
+                -0.163631,
+                -0.164201,
+                -0.164772,
+                -0.166963,
+                -0.176416,
+                -0.298207,
+                -1.96274
+              ],
+              [
+                9.44883,
+                0.914824,
+                0.213917,
+                0.10762,
+                1.16186e-05,
+                -0.0500304,
+                -0.092788,
+                -0.127214,
+                -0.150775,
+                -0.164213,
+                -0.172428,
+                -0.178019,
+                -0.181696,
+                -0.183943,
+                -0.185442,
+                -0.186595,
+                -0.18757,
+                -0.188441,
+                -0.189244,
+                -0.190001,
+                -0.190722,
+                -0.191416,
+                -0.192088,
+                -0.192742,
+                -0.193382,
+                -0.194856,
+                -0.20145,
+                -0.325283,
+                -1.99361
+              ],
+              [
+                9.45054,
+                0.933506,
+                0.223138,
+                0.112271,
+                2.34101e-06,
+                -0.0528772,
+                -0.0990193,
+                -0.137798,
+                -0.167438,
+                -0.186295,
+                -0.197273,
+                -0.203899,
+                -0.207805,
+                -0.210191,
+                -0.211871,
+                -0.213209,
+                -0.214357,
+                -0.215387,
+                -0.216337,
+                -0.21723,
+                -0.218079,
+                -0.218893,
+                -0.219679,
+                -0.220441,
+                -0.221183,
+                -0.222662,
+                -0.226585,
+                -0.351879,
+                -2.02402
+              ],
+              [
+                9.45219,
+                0.951514,
+                0.23071,
+                0.116122,
+                4.18974e-07,
+                -0.0551668,
+                -0.103984,
+                -0.146026,
+                -0.180186,
+                -0.20451,
+                -0.219153,
+                -0.227242,
+                -0.231705,
+                -0.234493,
+                -0.236526,
+                -0.238172,
+                -0.239591,
+                -0.240863,
+                -0.242033,
+                -0.243125,
+                -0.244158,
+                -0.245142,
+                -0.246088,
+                -0.246999,
+                -0.247883,
+                -0.249593,
+                -0.25201,
+                -0.377892,
+                -2.05386
+              ],
+              [
+                9.45378,
+                0.968685,
+                0.236984,
+                0.119329,
+                5.89767e-08,
+                -0.0570349,
+                -0.108002,
+                -0.152559,
+                -0.189942,
+                -0.218527,
+                -0.236906,
+                -0.246882,
+                -0.252359,
+                -0.255893,
+                -0.258528,
+                -0.260678,
+                -0.262532,
+                -0.264187,
+                -0.265697,
+                -0.267097,
+                -0.268411,
+                -0.269654,
+                -0.270838,
+                -0.271972,
+                -0.273064,
+                -0.27515,
+                -0.27731,
+                -0.403115,
+                -2.083
+              ],
+              [
+                9.4553,
+                0.984829,
+                0.242226,
+                0.122016,
+                1.40334e-08,
+                -0.0585755,
+                -0.111293,
+                -0.157836,
+                -0.197568,
+                -0.229031,
+                -0.250144,
+                -0.26191,
+                -0.268669,
+                -0.273237,
+                -0.276737,
+                -0.27963,
+                -0.282135,
+                -0.284369,
+                -0.2864,
+                -0.288273,
+                -0.290019,
+                -0.291659,
+                -0.29321,
+                -0.294684,
+                -0.296093,
+                -0.298754,
+                -0.301301,
+                -0.427209,
+                -2.11121
+              ],
+              [
+                9.45675,
+                0.999726,
+                0.246636,
+                0.124279,
+                1.18012e-08,
+                -0.0598565,
+                -0.114015,
+                -0.162157,
+                -0.203661,
+                -0.237016,
+                -0.259692,
+                -0.27262,
+                -0.280448,
+                -0.286005,
+                -0.290413,
+                -0.294145,
+                -0.297428,
+                -0.300388,
+                -0.303099,
+                -0.30561,
+                -0.307954,
+                -0.310156,
+                -0.312234,
+                -0.314204,
+                -0.31608,
+                -0.319595,
+                -0.322884,
+                -0.449658,
+                -2.13819
+              ],
+              [
+                9.45811,
+                1.01314,
+                0.250365,
+                0.126191,
+                1.12347e-08,
+                -0.0609283,
+                -0.116284,
+                -0.165729,
+                -0.208613,
+                -0.243248,
+                -0.266725,
+                -0.280244,
+                -0.288728,
+                -0.294965,
+                -0.300053,
+                -0.304458,
+                -0.30841,
+                -0.312034,
+                -0.315403,
+                -0.318564,
+                -0.321549,
+                -0.324381,
+                -0.327075,
+                -0.329646,
+                -0.332106,
+                -0.336735,
+                -0.341059,
+                -0.469735,
+                -2.16346
+              ],
+              [
+                9.46054,
+                1.03476,
+                0.256222,
+                0.129187,
+                1.15052e-08,
+                -0.0625895,
+                -0.119787,
+                -0.171205,
+                -0.216073,
+                -0.252269,
+                -0.276338,
+                -0.290259,
+                -0.299323,
+                -0.306216,
+                -0.311989,
+                -0.317102,
+                -0.321783,
+                -0.326159,
+                -0.330308,
+                -0.334277,
+                -0.338101,
+                -0.341801,
+                -0.345395,
+                -0.348893,
+                -0.352308,
+                -0.358922,
+                -0.365314,
+                -0.499614,
+                -2.20593
+              ],
+              [
+                9.46245,
+                1.04939,
+                0.260465,
+                0.131346,
+                1.13059e-08,
+                -0.063775,
+                -0.122284,
+                -0.175087,
+                -0.221285,
+                -0.258356,
+                -0.282549,
+                -0.296553,
+                -0.30584,
+                -0.313008,
+                -0.319074,
+                -0.324489,
+                -0.329478,
+                -0.334172,
+                -0.338648,
+                -0.342957,
+                -0.347134,
+                -0.351203,
+                -0.355182,
+                -0.359087,
+                -0.36293,
+                -0.370474,
+                -0.377913,
+                -0.516017,
+                -2.23317
+              ],
+              [
+                9.46511,
+                1.06839,
+                0.267206,
+                0.134723,
+                1.51638e-08,
+                -0.0656233,
+                -0.126225,
+                -0.181243,
+                -0.229501,
+                -0.267703,
+                -0.291831,
+                -0.30584,
+                -0.315355,
+                -0.322829,
+                -0.329223,
+                -0.334971,
+                -0.340297,
+                -0.345329,
+                -0.350146,
+                -0.354799,
+                -0.359325,
+                -0.363749,
+                -0.368092,
+                -0.372369,
+                -0.376594,
+                -0.384945,
+                -0.393258,
+                -0.534598,
+                -2.26131
+              ],
+              [
+                9.46569,
+                1.0732,
+                0.268631,
+                0.135297,
+                3.64779e-08,
+                -0.0660061,
+                -0.127289,
+                -0.183192,
+                -0.232366,
+                -0.271109,
+                -0.295275,
+                -0.309331,
+                -0.318959,
+                -0.326567,
+                -0.333099,
+                -0.338985,
+                -0.344448,
+                -0.349616,
+                -0.354568,
+                -0.359356,
+                -0.364016,
+                -0.368576,
+                -0.373055,
+                -0.377469,
+                -0.381833,
+                -0.390468,
+                -0.399078,
+                -0.541441,
+                -2.27071
+              ]
+            ]
+          },
+          "is_inverting": "true",
+          "is_needed": "true",
+          "miller_cap_fall": 4.29371e-06,
+          "miller_cap_rise": 0.00022805,
+          "output_voltage_fall": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.0152103,
+                  0.0253457,
+                  0.0366829,
+                  0.0491791,
+                  0.0692499
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.06625,
+                  0.0836971,
+                  0.0967236,
+                  0.1086239,
+                  0.1277299
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              }
+            ]
+          },
+          "output_voltage_rise": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.1114308,
+                  0.1726949,
+                  0.2366917,
+                  0.306427,
+                  0.4303792
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.1741508,
+                  0.235502,
+                  0.2995394,
+                  0.3691479,
+                  0.4931081
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              }
+            ]
+          },
+          "propagated_noise_high": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  0.941556
+                ],
+                "index_2": [
+                  1.06308
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.519967,
+                  0.5589661,
+                  0.6365501,
+                  0.7176101,
+                  0.799597
+                ],
+                "values": [
+                  1.64427,
+                  1.46083,
+                  1.33854,
+                  1.46083,
+                  1.64427
+                ]
+              },
+              {
+                "index_1": [
+                  1.05158
+                ],
+                "index_2": [
+                  0.585926
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.2885289,
+                  0.3178102,
+                  0.3737045,
+                  0.4462237,
+                  0.5197498
+                ],
+                "values": [
+                  1.58363,
+                  1.36381,
+                  1.21727,
+                  1.36381,
+                  1.58363
+                ]
+              },
+              {
+                "index_1": [
+                  1.161
+                ],
+                "index_2": [
+                  0.377982
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.1872045,
+                  0.2097866,
+                  0.25051,
+                  0.3189093,
+                  0.3874552
+                ],
+                "values": [
+                  1.55221,
+                  1.31353,
+                  1.15441,
+                  1.31353,
+                  1.55221
+                ]
+              },
+              {
+                "index_1": [
+                  0.941556
+                ],
+                "index_2": [
+                  0.531541
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.2625487,
+                  0.2814085,
+                  0.3203142,
+                  0.3811935,
+                  0.4538573
+                ],
+                "values": [
+                  1.78742,
+                  1.68987,
+                  1.62483,
+                  1.68987,
+                  1.78742
+                ]
+              },
+              {
+                "index_1": [
+                  1.05158
+                ],
+                "index_2": [
+                  0.292963
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.1463485,
+                  0.15959,
+                  0.1854387,
+                  0.2375498,
+                  0.3062506
+                ],
+                "values": [
+                  1.76384,
+                  1.65215,
+                  1.57769,
+                  1.65215,
+                  1.76384
+                ]
+              },
+              {
+                "index_1": [
+                  1.161
+                ],
+                "index_2": [
+                  0.188991
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.0955363,
+                  0.1057371,
+                  0.1286648,
+                  0.1708093,
+                  0.2338889
+                ],
+                "values": [
+                  1.75011,
+                  1.63017,
+                  1.55021,
+                  1.63017,
+                  1.75011
+                ]
+              },
+              {
+                "index_1": [
+                  1.05158
+                ],
+                "index_2": [
+                  0.87889
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.435538,
+                  0.4807452,
+                  0.5563105,
+                  0.6605474,
+                  0.7419985
+                ],
+                "values": [
+                  1.38148,
+                  1.04037,
+                  0.812966,
+                  1.04037,
+                  1.38148
+                ]
+              }
+            ]
+          },
+          "propagated_noise_low": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.04176
+                ],
+                "index_2": [
+                  12.3206
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  5.924819,
+                  6.1018405,
+                  6.2552781,
+                  6.4115083,
+                  6.645114
+                ],
+                "values": [
+                  0.0167738,
+                  0.0268381,
+                  0.0335476,
+                  0.0268381,
+                  0.0167738
+                ]
+              },
+              {
+                "index_1": [
+                  1.10361
+                ],
+                "index_2": [
+                  7.27354
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  3.5346009,
+                  3.6294506,
+                  3.7310786,
+                  3.8183358,
+                  3.9361954
+                ],
+                "values": [
+                  0.0374114,
+                  0.0598582,
+                  0.0748228,
+                  0.0598582,
+                  0.0374114
+                ]
+              },
+              {
+                "index_1": [
+                  1.15811
+                ],
+                "index_2": [
+                  4.93387
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  2.4415523,
+                  2.5004571,
+                  2.5832423,
+                  2.6543527,
+                  2.7121472
+                ],
+                "values": [
+                  0.0935363,
+                  0.149658,
+                  0.187073,
+                  0.149658,
+                  0.0935363
+                ]
+              },
+              {
+                "index_1": [
+                  1.04176
+                ],
+                "index_2": [
+                  6.16032
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  3.0986426,
+                  3.1326092,
+                  3.20714,
+                  3.3007133,
+                  3.4319469
+                ],
+                "values": [
+                  0.0135775,
+                  0.0217239,
+                  0.0271549,
+                  0.0217239,
+                  0.0135775
+                ]
+              },
+              {
+                "index_1": [
+                  1.10361
+                ],
+                "index_2": [
+                  3.63677
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  1.8549727,
+                  1.8820915,
+                  1.9270705,
+                  1.9988091,
+                  2.0795396
+                ],
+                "values": [
+                  0.0231914,
+                  0.0371063,
+                  0.0463829,
+                  0.0371063,
+                  0.0231914
+                ]
+              },
+              {
+                "index_1": [
+                  1.15811
+                ],
+                "index_2": [
+                  2.46694
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  1.277587,
+                  1.2996484,
+                  1.3588881,
+                  1.3991992,
+                  1.4542665
+                ],
+                "values": [
+                  0.0335094,
+                  0.0536151,
+                  0.0670188,
+                  0.0536151,
+                  0.0335094
+                ]
+              },
+              {
+                "index_1": [
+                  1.10361
+                ],
+                "index_2": [
+                  10.9103
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  5.2653429,
+                  5.4228484,
+                  5.5182137,
+                  5.6628961,
+                  5.8221357
+                ],
+                "values": [
+                  0.0415853,
+                  0.0665364,
+                  0.0831705,
+                  0.0665364,
+                  0.0415853
+                ]
+              }
+            ]
+          },
+          "stage_type": "both"
+        },
+        "ccsn_last_stage": {
+          "dc_current ccsn_dc": {
+            "index_1": [
+              -1.95,
+              -0.975,
+              -0.39,
+              -0.195,
+              0,
+              0.0975,
+              0.195,
+              0.2925,
+              0.39,
+              0.4875,
+              0.585,
+              0.6825,
+              0.78,
+              0.8775,
+              0.975,
+              1.0725,
+              1.17,
+              1.2675,
+              1.365,
+              1.4625,
+              1.56,
+              1.6575,
+              1.755,
+              1.8525,
+              1.95,
+              2.145,
+              2.34,
+              2.925,
+              3.9
+            ],
+            "index_2": [
+              -1.95,
+              -0.975,
+              -0.39,
+              -0.195,
+              0,
+              0.0975,
+              0.195,
+              0.2925,
+              0.39,
+              0.4875,
+              0.585,
+              0.6825,
+              0.78,
+              0.8775,
+              0.975,
+              1.0725,
+              1.17,
+              1.2675,
+              1.365,
+              1.4625,
+              1.56,
+              1.6575,
+              1.755,
+              1.8525,
+              1.95,
+              2.145,
+              2.34,
+              2.925,
+              3.9
+            ],
+            "values": [
+              [
+                7.67932,
+                0.876201,
+                0.639846,
+                0.616236,
+                0.587251,
+                0.570544,
+                0.552401,
+                0.532848,
+                0.511902,
+                0.489572,
+                0.465871,
+                0.440809,
+                0.414401,
+                0.386663,
+                0.357612,
+                0.327269,
+                0.295654,
+                0.26279,
+                0.228702,
+                0.193416,
+                0.156959,
+                0.11936,
+                0.0806464,
+                0.0408497,
+                -1.06206e-08,
+                -0.0801708,
+                -0.156153,
+                -0.42187,
+                -4.0917
+              ],
+              [
+                7.48511,
+                0.702346,
+                0.487858,
+                0.476099,
+                0.46307,
+                0.455885,
+                0.448091,
+                0.439501,
+                0.429845,
+                0.41875,
+                0.405783,
+                0.390649,
+                0.373295,
+                0.353757,
+                0.332058,
+                0.308205,
+                0.282209,
+                0.254084,
+                0.223849,
+                0.19153,
+                0.15716,
+                0.120777,
+                0.0824228,
+                0.0421464,
+                -1.95288e-09,
+                -0.0838169,
+                -0.163797,
+                -0.440862,
+                -4.09786
+              ],
+              [
+                7.36443,
+                0.569494,
+                0.353146,
+                0.345042,
+                0.336441,
+                0.331895,
+                0.327143,
+                0.322137,
+                0.316815,
+                0.311087,
+                0.304826,
+                0.297834,
+                0.289808,
+                0.280272,
+                0.268619,
+                0.254381,
+                0.237391,
+                0.217603,
+                0.194989,
+                0.169535,
+                0.141236,
+                0.110103,
+                0.0761601,
+                0.0394427,
+                -1.95255e-09,
+                -0.0804852,
+                -0.159062,
+                -0.436054,
+                -4.09704
+              ],
+              [
+                7.32923,
+                0.552579,
+                0.302891,
+                0.295782,
+                0.288317,
+                0.284413,
+                0.280366,
+                0.276148,
+                0.271719,
+                0.267026,
+                0.261996,
+                0.25652,
+                0.250434,
+                0.243471,
+                0.2352,
+                0.22498,
+                0.212176,
+                0.196465,
+                0.177729,
+                0.15591,
+                0.130975,
+                0.102907,
+                0.0717066,
+                0.0373929,
+                -1.95244e-09,
+                -0.0775241,
+                -0.154375,
+                -0.430022,
+                -4.09579
+              ],
+              [
+                7.29164,
+                0.549761,
+                0.251052,
+                0.244853,
+                0.238425,
+                0.235094,
+                0.231669,
+                0.22813,
+                0.224454,
+                0.22061,
+                0.216556,
+                0.212233,
+                0.207554,
+                0.202385,
+                0.196509,
+                0.189554,
+                0.180898,
+                0.169759,
+                0.155597,
+                0.138186,
+                0.117422,
+                0.0932459,
+                0.0656221,
+                0.0345375,
+                -1.96576e-09,
+                -0.0732453,
+                -0.147474,
+                -0.420905,
+                -4.09386
+              ],
+              [
+                7.27241,
+                0.548276,
+                0.225059,
+                0.219085,
+                0.213147,
+                0.210084,
+                0.206945,
+                0.203715,
+                0.200377,
+                0.196907,
+                0.193274,
+                0.189434,
+                0.185325,
+                0.180852,
+                0.175868,
+                0.170121,
+                0.163161,
+                0.154247,
+                0.14256,
+                0.12763,
+                0.109266,
+                0.0873696,
+                0.061879,
+                0.0327596,
+                -2.26552e-09,
+                -0.070526,
+                -0.143049,
+                -0.415004,
+                -4.09257
+              ],
+              [
+                7.25315,
+                0.546886,
+                0.200797,
+                0.193338,
+                0.187872,
+                0.185067,
+                0.182201,
+                0.179265,
+                0.176245,
+                0.173123,
+                0.169876,
+                0.166472,
+                0.162867,
+                0.158996,
+                0.154758,
+                0.149988,
+                0.144391,
+                0.137419,
+                0.12819,
+                0.115891,
+                0.100129,
+                0.0807387,
+                0.057624,
+                0.0307229,
+                -9.21907e-09,
+                -0.0673726,
+                -0.137892,
+                -0.408108,
+                -4.09106
+              ],
+              [
+                7.23406,
+                0.546031,
+                0.183183,
+                0.167842,
+                0.162807,
+                0.16025,
+                0.157648,
+                0.154992,
+                0.152273,
+                0.149477,
+                0.146588,
+                0.143582,
+                0.14043,
+                0.137086,
+                0.133482,
+                0.129513,
+                0.124994,
+                0.119576,
+                0.112572,
+                0.10296,
+                0.0899803,
+                0.0733197,
+                0.0528284,
+                0.0284101,
+                -1.7003e-07,
+                -0.0637508,
+                -0.131942,
+                -0.400146,
+                -4.08927
+              ],
+              [
+                7.21537,
+                0.545945,
+                0.176397,
+                0.14322,
+                0.138192,
+                0.135878,
+                0.13353,
+                0.131144,
+                0.128712,
+                0.126224,
+                0.12367,
+                0.121032,
+                0.11829,
+                0.115413,
+                0.112358,
+                0.109057,
+                0.105397,
+                0.101174,
+                0.0959659,
+                0.0888904,
+                0.0788127,
+                0.0650898,
+                0.0474674,
+                0.0258031,
+                -3.82634e-06,
+                -0.0596318,
+                -0.125146,
+                -0.391056,
+                -4.08721
+              ],
+              [
+                7.19734,
+                0.54692,
+                0.177706,
+                0.121993,
+                0.11431,
+                0.112228,
+                0.110126,
+                0.107999,
+                0.10584,
+                0.103644,
+                0.101402,
+                0.099104,
+                0.0967352,
+                0.0942763,
+                0.0916992,
+                0.0889623,
+                0.0859989,
+                0.0826936,
+                0.0788183,
+                0.0738379,
+                0.066613,
+                0.055991,
+                0.0414678,
+                0.0228254,
+                -8.07813e-05,
+                -0.0550653,
+                -0.117536,
+                -0.380901,
+                -4.08503
+              ],
+              [
+                7.18027,
+                0.549276,
+                0.183236,
+                0.109703,
+                0.0914892,
+                0.0895287,
+                0.0876205,
+                0.0856977,
+                0.0837556,
+                0.08179,
+                0.0797957,
+                0.0777658,
+                0.0756916,
+                0.0735608,
+                0.0713566,
+                0.0690542,
+                0.0666156,
+                0.0639785,
+                0.0610271,
+                0.0575038,
+                0.0526906,
+                0.0451656,
+                0.0338886,
+                0.0184741,
+                -0.0012829,
+                -0.0511903,
+                -0.110341,
+                -0.371273,
+                -4.08512
+              ],
+              [
+                7.16449,
+                0.55336,
+                0.191368,
+                0.107643,
+                0.0701076,
+                0.0672637,
+                0.06517,
+                0.0630928,
+                0.0610088,
+                0.0589126,
+                0.0568003,
+                0.0546679,
+                0.0525103,
+                0.0503207,
+                0.0480899,
+                0.0458043,
+                0.0434439,
+                0.0409768,
+                0.0383479,
+                0.0354459,
+                0.0319687,
+                0.0269111,
+                0.0186438,
+                0.00633225,
+                -0.0103369,
+                -0.0553342,
+                -0.111489,
+                -0.372076,
+                -4.10138
+              ],
+              [
+                7.15037,
+                0.559558,
+                0.201694,
+                0.111391,
+                0.0506001,
+                0.0423317,
+                0.0388847,
+                0.0358422,
+                0.0328796,
+                0.0299454,
+                0.0270227,
+                0.0241035,
+                0.0211824,
+                0.0182544,
+                0.0153142,
+                0.0123548,
+                0.00936644,
+                0.00633422,
+                0.00323392,
+                2.10191e-05,
+                -0.00340703,
+                -0.00742552,
+                -0.0134336,
+                -0.0230888,
+                -0.0370101,
+                -0.0777746,
+                -0.131753,
+                -0.395752,
+                -4.14963
+              ],
+              [
+                7.13835,
+                0.568298,
+                0.214273,
+                0.118038,
+                0.0334668,
+                0.0128863,
+                0.00550747,
+                0.000839129,
+                -0.00331723,
+                -0.00728694,
+                -0.0111627,
+                -0.0149821,
+                -0.018764,
+                -0.0225196,
+                -0.0262564,
+                -0.0299805,
+                -0.0336981,
+                -0.0374165,
+                -0.0411459,
+                -0.0449025,
+                -0.0487182,
+                -0.0526818,
+                -0.0572892,
+                -0.06437,
+                -0.0753911,
+                -0.111497,
+                -0.162988,
+                -0.430892,
+                -4.21164
+              ],
+              [
+                7.12888,
+                0.580069,
+                0.229396,
+                0.126606,
+                0.0192952,
+                -0.0157997,
+                -0.0306447,
+                -0.0376104,
+                -0.0429772,
+                -0.0478171,
+                -0.052406,
+                -0.056849,
+                -0.0611955,
+                -0.0654725,
+                -0.0696962,
+                -0.0738773,
+                -0.0780233,
+                -0.0821403,
+                -0.0862344,
+                -0.0903123,
+                -0.0943841,
+                -0.0984688,
+                -0.102639,
+                -0.107579,
+                -0.115422,
+                -0.145734,
+                -0.193653,
+                -0.463786,
+                -4.268
+              ],
+              [
+                7.12248,
+                0.595447,
+                0.247532,
+                0.137056,
+                0.00880236,
+                -0.0398668,
+                -0.0645305,
+                -0.074744,
+                -0.0814255,
+                -0.0870352,
+                -0.092164,
+                -0.0970241,
+                -0.101712,
+                -0.10628,
+                -0.110757,
+                -0.115163,
+                -0.119511,
+                -0.123811,
+                -0.128069,
+                -0.132292,
+                -0.136487,
+                -0.140662,
+                -0.144828,
+                -0.149056,
+                -0.15438,
+                -0.178059,
+                -0.221461,
+                -0.492678,
+                -4.31667
+              ],
+              [
+                7.11978,
+                0.61512,
+                0.269251,
+                0.149817,
+                0.00269878,
+                -0.0580194,
+                -0.0934375,
+                -0.108127,
+                -0.116392,
+                -0.122811,
+                -0.128451,
+                -0.133676,
+                -0.138646,
+                -0.143445,
+                -0.148122,
+                -0.152707,
+                -0.157221,
+                -0.161678,
+                -0.166091,
+                -0.170467,
+                -0.174815,
+                -0.179143,
+                -0.183459,
+                -0.187776,
+                -0.192304,
+                -0.209361,
+                -0.247463,
+                -0.519184,
+                -4.36028
+              ],
+              [
+                7.12144,
+                0.639492,
+                0.294366,
+                0.164628,
+                0.00052844,
+                -0.0708722,
+                -0.11713,
+                -0.137771,
+                -0.148139,
+                -0.155603,
+                -0.16191,
+                -0.167625,
+                -0.172989,
+                -0.178125,
+                -0.183102,
+                -0.187962,
+                -0.192735,
+                -0.197441,
+                -0.202093,
+                -0.206703,
+                -0.211281,
+                -0.215836,
+                -0.220377,
+                -0.224914,
+                -0.229493,
+                -0.241695,
+                -0.2732,
+                -0.54464,
+                -4.40083
+              ],
+              [
+                7.12738,
+                0.667238,
+                0.320729,
+                0.17949,
+                9.18172e-05,
+                -0.0807956,
+                -0.137416,
+                -0.165401,
+                -0.178502,
+                -0.187268,
+                -0.194387,
+                -0.200691,
+                -0.206523,
+                -0.212052,
+                -0.217372,
+                -0.222542,
+                -0.227598,
+                -0.232566,
+                -0.237467,
+                -0.242313,
+                -0.247117,
+                -0.25189,
+                -0.256642,
+                -0.261386,
+                -0.266139,
+                -0.276371,
+                -0.300026,
+                -0.569843,
+                -4.43948
+              ],
+              [
+                7.13565,
+                0.696136,
+                0.346377,
+                0.193004,
+                1.68844e-05,
+                -0.0892185,
+                -0.155357,
+                -0.191709,
+                -0.208225,
+                -0.218515,
+                -0.226536,
+                -0.233471,
+                -0.239789,
+                -0.245717,
+                -0.251379,
+                -0.256848,
+                -0.262175,
+                -0.267392,
+                -0.272522,
+                -0.277584,
+                -0.282592,
+                -0.287559,
+                -0.292498,
+                -0.297422,
+                -0.302345,
+                -0.312363,
+                -0.329009,
+                -0.595259,
+                -4.47686
+              ],
+              [
+                7.14448,
+                0.725279,
+                0.370616,
+                0.20498,
+                3.09334e-06,
+                -0.0965517,
+                -0.171259,
+                -0.216566,
+                -0.237301,
+                -0.249375,
+                -0.258395,
+                -0.266001,
+                -0.272822,
+                -0.279152,
+                -0.285152,
+                -0.290915,
+                -0.296501,
+                -0.301953,
+                -0.307299,
+                -0.312561,
+                -0.317756,
+                -0.3229,
+                -0.328006,
+                -0.333091,
+                -0.338169,
+                -0.348393,
+                -0.36087,
+                -0.621171,
+                -4.51336
+              ],
+              [
+                7.15338,
+                0.754466,
+                0.393147,
+                0.215533,
+                4.92373e-07,
+                -0.102967,
+                -0.185317,
+                -0.239704,
+                -0.265582,
+                -0.279769,
+                -0.289909,
+                -0.29824,
+                -0.305587,
+                -0.312331,
+                -0.31867,
+                -0.324724,
+                -0.330565,
+                -0.336245,
+                -0.341797,
+                -0.347249,
+                -0.35262,
+                -0.357929,
+                -0.363191,
+                -0.368424,
+                -0.373643,
+                -0.38412,
+                -0.395223,
+                -0.647759,
+                -4.54923
+              ],
+              [
+                7.16227,
+                0.783628,
+                0.413761,
+                0.224817,
+                5.23722e-08,
+                -0.108584,
+                -0.19772,
+                -0.2609,
+                -0.292894,
+                -0.309611,
+                -0.321023,
+                -0.330144,
+                -0.338051,
+                -0.345224,
+                -0.351912,
+                -0.358259,
+                -0.364355,
+                -0.370259,
+                -0.376013,
+                -0.381649,
+                -0.38719,
+                -0.392656,
+                -0.398066,
+                -0.403438,
+                -0.408789,
+                -0.419513,
+                -0.430499,
+                -0.675142,
+                -4.58465
+              ],
+              [
+                7.17111,
+                0.812719,
+                0.432324,
+                0.232976,
+                6.49319e-09,
+                -0.113502,
+                -0.208649,
+                -0.280024,
+                -0.319044,
+                -0.338807,
+                -0.351682,
+                -0.361677,
+                -0.370185,
+                -0.37781,
+                -0.384859,
+                -0.391506,
+                -0.397858,
+                -0.403988,
+                -0.409943,
+                -0.415761,
+                -0.421468,
+                -0.427087,
+                -0.43264,
+                -0.438144,
+                -0.443622,
+                -0.45458,
+                -0.465723,
+                -0.703395,
+                -4.61974
+              ],
+              [
+                7.17991,
+                0.841696,
+                0.448794,
+                0.240136,
+                4.82653e-09,
+                -0.117805,
+                -0.218272,
+                -0.297069,
+                -0.343821,
+                -0.36726,
+                -0.381834,
+                -0.392804,
+                -0.401965,
+                -0.410071,
+                -0.417497,
+                -0.424453,
+                -0.431069,
+                -0.437427,
+                -0.443584,
+                -0.449583,
+                -0.455455,
+                -0.461226,
+                -0.466918,
+                -0.472553,
+                -0.478152,
+                -0.489335,
+                -0.500674,
+                -0.732565,
+                -4.6546
+              ],
+              [
+                7.19739,
+                0.89901,
+                0.475773,
+                0.2519,
+                7.68233e-09,
+                -0.124849,
+                -0.234179,
+                -0.325375,
+                -0.388381,
+                -0.421472,
+                -0.440393,
+                -0.453713,
+                -0.464376,
+                -0.473553,
+                -0.4818,
+                -0.489419,
+                -0.496587,
+                -0.503419,
+                -0.509992,
+                -0.516361,
+                -0.522565,
+                -0.528639,
+                -0.534608,
+                -0.540499,
+                -0.546336,
+                -0.557951,
+                -0.56968,
+                -0.79372,
+                -4.72392
+              ],
+              [
+                7.21468,
+                0.955368,
+                0.495946,
+                0.260854,
+                1.25095e-08,
+                -0.130194,
+                -0.246431,
+                -0.347154,
+                -0.425141,
+                -0.471126,
+                -0.496179,
+                -0.51259,
+                -0.52511,
+                -0.535554,
+                -0.54474,
+                -0.553097,
+                -0.560869,
+                -0.568211,
+                -0.575224,
+                -0.58198,
+                -0.58853,
+                -0.594914,
+                -0.601166,
+                -0.607315,
+                -0.613389,
+                -0.625427,
+                -0.637528,
+                -0.858359,
+                -4.79299
+              ],
+              [
+                7.26519,
+                1.11236,
+                0.529533,
+                0.275979,
+                4.31658e-08,
+                -0.139259,
+                -0.26808,
+                -0.386052,
+                -0.491687,
+                -0.579232,
+                -0.638362,
+                -0.67284,
+                -0.694975,
+                -0.711286,
+                -0.724481,
+                -0.7358,
+                -0.745889,
+                -0.755116,
+                -0.76371,
+                -0.771823,
+                -0.779559,
+                -0.786994,
+                -0.794185,
+                -0.801179,
+                -0.808018,
+                -0.82138,
+                -0.834582,
+                -1.05682,
+                -4.99781
+              ],
+              [
+                7.3393,
+                1.26641,
+                0.540408,
+                0.279681,
+                1.70245e-07,
+                -0.141892,
+                -0.276838,
+                -0.404727,
+                -0.525387,
+                -0.638425,
+                -0.74263,
+                -0.834146,
+                -0.904919,
+                -0.952041,
+                -0.983262,
+                -1.00589,
+                -1.02374,
+                -1.03868,
+                -1.0517,
+                -1.06339,
+                -1.07409,
+                -1.08405,
+                -1.09343,
+                -1.10235,
+                -1.11088,
+                -1.12709,
+                -1.14252,
+                -1.36861,
+                -5.31383
+              ]
+            ]
+          },
+          "is_inverting": "true",
+          "is_needed": "true",
+          "miller_cap_fall": 0.000739184,
+          "miller_cap_rise": 0.000578439,
+          "output_voltage_fall": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.00735618
+                ],
+                "index_3": [
+                  0.0144857,
+                  0.021846,
+                  0.0296546,
+                  0.0380638,
+                  0.0488692
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              },
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.0220685
+                ],
+                "index_3": [
+                  0.0206742,
+                  0.0406903,
+                  0.0618279,
+                  0.084553,
+                  0.1136395
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.00735618
+                ],
+                "index_3": [
+                  0.0616108,
+                  0.0766727,
+                  0.0879621,
+                  0.0979176,
+                  0.108843
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.0220685
+                ],
+                "index_3": [
+                  0.0748644,
+                  0.1005019,
+                  0.1216158,
+                  0.1445416,
+                  0.1745483
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              }
+            ]
+          },
+          "output_voltage_rise": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.00366807
+                ],
+                "index_3": [
+                  0.0179593,
+                  0.0263871,
+                  0.0355364,
+                  0.0464587,
+                  0.0654984
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              },
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.0110042
+                ],
+                "index_3": [
+                  0.0247705,
+                  0.0459922,
+                  0.0691766,
+                  0.0965374,
+                  0.1416547
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.00366807
+                ],
+                "index_3": [
+                  0.0751528,
+                  0.0904477,
+                  0.1014917,
+                  0.1123985,
+                  0.1313127
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.0110042
+                ],
+                "index_3": [
+                  0.0871259,
+                  0.1113172,
+                  0.1343853,
+                  0.1616753,
+                  0.2067961
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              }
+            ]
+          },
+          "propagated_noise_high": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  0.926795
+                ],
+                "index_2": [
+                  0.80078
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.3909553,
+                  0.4174164,
+                  0.4589839,
+                  0.5138495,
+                  0.5525268
+                ],
+                "values": [
+                  1.62602,
+                  1.43163,
+                  1.30204,
+                  1.43163,
+                  1.62602
+                ]
+              },
+              {
+                "index_1": [
+                  1.04513
+                ],
+                "index_2": [
+                  0.427825
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.2106081,
+                  0.2311343,
+                  0.2649688,
+                  0.3088477,
+                  0.3408965
+                ],
+                "values": [
+                  1.53266,
+                  1.28226,
+                  1.11533,
+                  1.28226,
+                  1.53266
+                ]
+              },
+              {
+                "index_1": [
+                  1.16732
+                ],
+                "index_2": [
+                  0.270805
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.1348573,
+                  0.1513377,
+                  0.1834436,
+                  0.2153154,
+                  0.2418433
+                ],
+                "values": [
+                  1.48347,
+                  1.20355,
+                  1.01694,
+                  1.20355,
+                  1.48347
+                ]
+              },
+              {
+                "index_1": [
+                  0.926795
+                ],
+                "index_2": [
+                  0.40039
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.1983918,
+                  0.2125447,
+                  0.2439203,
+                  0.2689111,
+                  0.2958029
+                ],
+                "values": [
+                  1.76427,
+                  1.65283,
+                  1.57853,
+                  1.65283,
+                  1.76427
+                ]
+              },
+              {
+                "index_1": [
+                  1.16732
+                ],
+                "index_2": [
+                  0.135402
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.0702377,
+                  0.0787597,
+                  0.091361,
+                  0.1146539,
+                  0.1347185
+                ],
+                "values": [
+                  1.70394,
+                  1.55631,
+                  1.45789,
+                  1.55631,
+                  1.70394
+                ]
+              },
+              {
+                "index_1": [
+                  1.04513
+                ],
+                "index_2": [
+                  0.427825
+                ],
+                "index_3": [
+                  0.0220685
+                ],
+                "index_4": [
+                  0.2123767,
+                  0.2349324,
+                  0.2769634,
+                  0.3267411,
+                  0.3795024
+                ],
+                "values": [
+                  1.76757,
+                  1.65812,
+                  1.58515,
+                  1.65812,
+                  1.76757
+                ]
+              },
+              {
+                "index_1": [
+                  1.16732
+                ],
+                "index_2": [
+                  0.270805
+                ],
+                "index_3": [
+                  0.0220685
+                ],
+                "index_4": [
+                  0.1363905,
+                  0.1546725,
+                  0.1919711,
+                  0.2308602,
+                  0.2748328
+                ],
+                "values": [
+                  1.75015,
+                  1.63024,
+                  1.5503,
+                  1.63024,
+                  1.75015
+                ]
+              }
+            ]
+          },
+          "propagated_noise_low": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.15341
+                ],
+                "index_2": [
+                  0.96143
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.4702677,
+                  0.4897436,
+                  0.5124296,
+                  0.5446385,
+                  0.5640324
+                ],
+                "values": [
+                  0.174849,
+                  0.279759,
+                  0.349699,
+                  0.279759,
+                  0.174849
+                ]
+              },
+              {
+                "index_1": [
+                  1.27397
+                ],
+                "index_2": [
+                  0.560477
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.2762441,
+                  0.293478,
+                  0.321592,
+                  0.3473403,
+                  0.36446
+                ],
+                "values": [
+                  0.336067,
+                  0.537708,
+                  0.672135,
+                  0.537708,
+                  0.336067
+                ]
+              },
+              {
+                "index_1": [
+                  1.38158
+                ],
+                "index_2": [
+                  0.382163
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.1896988,
+                  0.2057282,
+                  0.227276,
+                  0.2535829,
+                  0.268695
+                ],
+                "values": [
+                  0.426148,
+                  0.681837,
+                  0.852296,
+                  0.681837,
+                  0.426148
+                ]
+              },
+              {
+                "index_1": [
+                  1.15341
+                ],
+                "index_2": [
+                  0.480715
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.2366596,
+                  0.2475874,
+                  0.2618871,
+                  0.2789562,
+                  0.2909946
+                ],
+                "values": [
+                  0.111232,
+                  0.177971,
+                  0.222464,
+                  0.177971,
+                  0.111232
+                ]
+              },
+              {
+                "index_1": [
+                  1.38158
+                ],
+                "index_2": [
+                  0.191081
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.0976949,
+                  0.1059943,
+                  0.1190984,
+                  0.1314656,
+                  0.1398361
+                ],
+                "values": [
+                  0.235338,
+                  0.376541,
+                  0.470677,
+                  0.376541,
+                  0.235338
+                ]
+              },
+              {
+                "index_1": [
+                  1.27397
+                ],
+                "index_2": [
+                  0.560477
+                ],
+                "index_3": [
+                  0.0110042
+                ],
+                "index_4": [
+                  0.2760895,
+                  0.296862,
+                  0.3281016,
+                  0.3588566,
+                  0.3787782
+                ],
+                "values": [
+                  0.164087,
+                  0.26254,
+                  0.328175,
+                  0.26254,
+                  0.164087
+                ]
+              },
+              {
+                "index_1": [
+                  1.38158
+                ],
+                "index_2": [
+                  0.382163
+                ],
+                "index_3": [
+                  0.0110042
+                ],
+                "index_4": [
+                  0.1907028,
+                  0.208358,
+                  0.2393691,
+                  0.2627517,
+                  0.2796988
+                ],
+                "values": [
+                  0.196862,
+                  0.314979,
+                  0.393724,
+                  0.314979,
+                  0.196862
+                ]
+              }
+            ]
+          },
+          "stage_type": "both"
+        },
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00139084,
+            0.00386886,
+            0.0107619,
+            0.0299362,
+            0.0832729,
+            0.231638
+          ],
+          "values": [
+            [
+              0.2181384,
+              0.2252375,
+              0.2409055,
+              0.2729444,
+              0.3350815,
+              0.4676355,
+              0.7941494
+            ],
+            [
+              0.2221051,
+              0.2290855,
+              0.2449022,
+              0.2766933,
+              0.3389389,
+              0.47159,
+              0.7981978
+            ],
+            [
+              0.2326764,
+              0.239657,
+              0.2554449,
+              0.2871983,
+              0.3495052,
+              0.4821374,
+              0.8089086
+            ],
+            [
+              0.2557534,
+              0.2628785,
+              0.2785688,
+              0.3103748,
+              0.372624,
+              0.5051729,
+              0.8317129
+            ],
+            [
+              0.3007437,
+              0.3077938,
+              0.3237008,
+              0.355623,
+              0.41785,
+              0.5504393,
+              0.8775609
+            ],
+            [
+              0.3789263,
+              0.3864799,
+              0.4028307,
+              0.4358467,
+              0.4999425,
+              0.6342302,
+              0.9614842
+            ],
+            [
+              0.5227596,
+              0.5308443,
+              0.5481901,
+              0.5845376,
+              0.6531868,
+              0.7925878,
+              1.123271
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00139084,
+            0.00386886,
+            0.0107619,
+            0.0299362,
+            0.0832729,
+            0.231638
+          ],
+          "values": [
+            [
+              0.0544732,
+              0.0601752,
+              0.0738496,
+              0.1076188,
+              0.1958002,
+              0.4344916,
+              1.0961102
+            ],
+            [
+              0.0580034,
+              0.0636703,
+              0.0772765,
+              0.1110752,
+              0.199226,
+              0.4382771,
+              1.0980057
+            ],
+            [
+              0.0662338,
+              0.0718144,
+              0.0852143,
+              0.1186866,
+              0.2068017,
+              0.4458857,
+              1.105819
+            ],
+            [
+              0.0807681,
+              0.0864287,
+              0.0997855,
+              0.1326737,
+              0.2203869,
+              0.4595304,
+              1.1222311
+            ],
+            [
+              0.0947316,
+              0.1010276,
+              0.1147751,
+              0.1477385,
+              0.2348474,
+              0.474197,
+              1.134132
+            ],
+            [
+              0.0906644,
+              0.0983503,
+              0.1144639,
+              0.1484484,
+              0.2353433,
+              0.4743544,
+              1.1353227
+            ],
+            [
+              0.0283191,
+              0.0373947,
+              0.0573798,
+              0.0961355,
+              0.1832437,
+              0.4216973,
+              1.0838923
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00139084,
+            0.00386886,
+            0.0107619,
+            0.0299362,
+            0.0832729,
+            0.231638
+          ],
+          "values": [
+            [
+              0.0304218,
+              0.0351839,
+              0.0463493,
+              0.0712117,
+              0.1263801,
+              0.2668231,
+              0.6713062
+            ],
+            [
+              0.0302736,
+              0.0351655,
+              0.0465979,
+              0.0712512,
+              0.1266472,
+              0.2658039,
+              0.6718234
+            ],
+            [
+              0.0303509,
+              0.0352217,
+              0.0465963,
+              0.0712779,
+              0.1266664,
+              0.2657045,
+              0.6722632
+            ],
+            [
+              0.0302348,
+              0.0353357,
+              0.0465566,
+              0.0712321,
+              0.126416,
+              0.2668032,
+              0.6712099
+            ],
+            [
+              0.0304067,
+              0.0352431,
+              0.0464948,
+              0.0710077,
+              0.1253531,
+              0.2655738,
+              0.6766033
+            ],
+            [
+              0.0334311,
+              0.038401,
+              0.04989,
+              0.0752616,
+              0.1292347,
+              0.2686888,
+              0.6766344
+            ],
+            [
+              0.0394128,
+              0.0448252,
+              0.0567612,
+              0.0835509,
+              0.1406773,
+              0.2791528,
+              0.6786541
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00139084,
+            0.00386886,
+            0.0107619,
+            0.0299362,
+            0.0832729,
+            0.231638
+          ],
+          "values": [
+            [
+              0.0167642,
+              0.0224348,
+              0.0378575,
+              0.0812127,
+              0.2029424,
+              0.5430305,
+              1.4913026
+            ],
+            [
+              0.0166581,
+              0.0223209,
+              0.0377131,
+              0.0811282,
+              0.2027643,
+              0.5428298,
+              1.4923556
+            ],
+            [
+              0.0164226,
+              0.0220523,
+              0.0375295,
+              0.080925,
+              0.2028765,
+              0.543144,
+              1.4931232
+            ],
+            [
+              0.0175128,
+              0.0229081,
+              0.0377246,
+              0.0806723,
+              0.2023797,
+              0.5413071,
+              1.491118
+            ],
+            [
+              0.0206433,
+              0.0261385,
+              0.0398997,
+              0.0811955,
+              0.2021022,
+              0.5416838,
+              1.4891287
+            ],
+            [
+              0.0258397,
+              0.0318092,
+              0.0453692,
+              0.0834017,
+              0.2028971,
+              0.5421775,
+              1.4899834
+            ],
+            [
+              0.0334355,
+              0.040745,
+              0.0566167,
+              0.0921472,
+              0.2043702,
+              0.5445831,
+              1.4887269
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "ccsn_first_stage": {
+          "dc_current ccsn_dc": {
+            "index_1": [
+              -1.95,
+              -0.975,
+              -0.39,
+              -0.195,
+              0,
+              0.0975,
+              0.195,
+              0.2925,
+              0.39,
+              0.4875,
+              0.585,
+              0.6825,
+              0.78,
+              0.8775,
+              0.975,
+              1.0725,
+              1.17,
+              1.2675,
+              1.365,
+              1.4625,
+              1.56,
+              1.6575,
+              1.755,
+              1.8525,
+              1.95,
+              2.145,
+              2.34,
+              2.925,
+              3.9
+            ],
+            "index_2": [
+              -1.95,
+              -0.975,
+              -0.39,
+              -0.195,
+              0,
+              0.0975,
+              0.195,
+              0.2925,
+              0.39,
+              0.4875,
+              0.585,
+              0.6825,
+              0.78,
+              0.8775,
+              0.975,
+              1.0725,
+              1.17,
+              1.2675,
+              1.365,
+              1.4625,
+              1.56,
+              1.6575,
+              1.755,
+              1.8525,
+              1.95,
+              2.145,
+              2.34,
+              2.925,
+              3.9
+            ],
+            "values": [
+              [
+                9.43295,
+                0.582433,
+                0.0661175,
+                0.0652357,
+                0.0643472,
+                0.0638807,
+                0.0633963,
+                0.062891,
+                0.0623606,
+                0.0617993,
+                0.0611987,
+                0.0605461,
+                0.0598207,
+                0.0589847,
+                0.057958,
+                0.0565366,
+                0.0543456,
+                0.0511171,
+                0.0467833,
+                0.0413571,
+                0.034886,
+                0.0274366,
+                0.0190861,
+                0.0099139,
+                -8.17442e-08,
+                -0.0212585,
+                -0.043686,
+                -0.156546,
+                -1.78928
+              ],
+              [
+                9.43296,
+                0.582464,
+                0.066173,
+                0.0652991,
+                0.0644186,
+                0.0639561,
+                0.0634758,
+                0.0629746,
+                0.0624483,
+                0.0618913,
+                0.061295,
+                0.0606469,
+                0.0599262,
+                0.0590954,
+                0.0580746,
+                0.0566615,
+                0.0544827,
+                0.0512701,
+                0.0469529,
+                0.0415399,
+                0.0350731,
+                0.0276135,
+                0.0192319,
+                0.0100026,
+                -7.08692e-08,
+                -0.021515,
+                -0.0442882,
+                -0.157742,
+                -1.78951
+              ],
+              [
+                9.44138,
+                0.588352,
+                0.0639778,
+                0.0631614,
+                0.062341,
+                0.0619096,
+                0.0614613,
+                0.0609932,
+                0.0605015,
+                0.0599806,
+                0.0594227,
+                0.0588158,
+                0.0581402,
+                0.0573603,
+                0.0563992,
+                0.0550596,
+                0.052977,
+                0.0498918,
+                0.0457332,
+                0.0405046,
+                0.0342411,
+                0.0269951,
+                0.018829,
+                0.00980849,
+                -5.0642e-08,
+                -0.0211923,
+                -0.043744,
+                -0.157404,
+                -1.78947
+              ],
+              [
+                9.45088,
+                0.618142,
+                0.0622729,
+                0.0614994,
+                0.0607238,
+                0.0603157,
+                0.0598914,
+                0.0594482,
+                0.0589822,
+                0.0584885,
+                0.0579594,
+                0.0573834,
+                0.0567418,
+                0.0560002,
+                0.0550842,
+                0.0538003,
+                0.0517908,
+                0.048803,
+                0.0447656,
+                0.0396786,
+                0.0335717,
+                0.0264921,
+                0.0184963,
+                0.00964511,
+                -4.28843e-08,
+                -0.0209024,
+                -0.0432254,
+                -0.156923,
+                -1.7894
+              ],
+              [
+                9.45937,
+                0.662672,
+                0.0596039,
+                0.0588752,
+                0.0581704,
+                0.0577989,
+                0.0574124,
+                0.0570082,
+                0.056583,
+                0.056132,
+                0.0556481,
+                0.0551209,
+                0.0545327,
+                0.0538515,
+                0.0530069,
+                0.0518116,
+                0.0499198,
+                0.0470886,
+                0.043246,
+                0.0383847,
+                0.0325261,
+                0.0257082,
+                0.0179789,
+                0.00939113,
+                -3.36146e-08,
+                -0.0204528,
+                -0.0424194,
+                -0.156152,
+                -1.78927
+              ],
+              [
+                9.46304,
+                0.686233,
+                0.0579908,
+                0.0569989,
+                0.0563447,
+                0.0559996,
+                0.0556402,
+                0.0552641,
+                0.054868,
+                0.0544476,
+                0.0539962,
+                0.0535039,
+                0.052954,
+                0.0523162,
+                0.0515228,
+                0.0503919,
+                0.0485863,
+                0.0458704,
+                0.0421702,
+                0.0374727,
+                0.0317925,
+                0.0251608,
+                0.017619,
+                0.00921515,
+                -3.05426e-08,
+                -0.0201448,
+                -0.0418702,
+                -0.155628,
+                -1.78918
+              ],
+              [
+                9.46622,
+                0.709111,
+                0.057984,
+                0.0545572,
+                0.053967,
+                0.0536564,
+                0.0533325,
+                0.0529931,
+                0.0526352,
+                0.0522548,
+                0.0518459,
+                0.0513992,
+                0.0508995,
+                0.0503184,
+                0.0495923,
+                0.0485465,
+                0.0468565,
+                0.0442952,
+                0.040785,
+                0.0363042,
+                0.0308574,
+                0.0244665,
+                0.0171648,
+                0.00899402,
+                -3.91135e-08,
+                -0.0197631,
+                -0.0411943,
+                -0.154991,
+                -1.78908
+              ],
+              [
+                9.46874,
+                0.731706,
+                0.0643614,
+                0.0513443,
+                0.0507942,
+                0.0505299,
+                0.0502537,
+                0.0499636,
+                0.0496571,
+                0.0493305,
+                0.0489785,
+                0.0485931,
+                0.0481606,
+                0.0476557,
+                0.0470205,
+                0.0460911,
+                0.0445615,
+                0.0422151,
+                0.0389669,
+                0.034781,
+                0.0296472,
+                0.0235743,
+                0.0165851,
+                0.00871338,
+                -2.89935e-07,
+                -0.0192887,
+                -0.0403624,
+                -0.154223,
+                -1.78895
+              ],
+              [
+                9.47031,
+                0.75365,
+                0.079498,
+                0.0474625,
+                0.0464152,
+                0.0462146,
+                0.0460042,
+                0.0457823,
+                0.0455466,
+                0.0452943,
+                0.0450211,
+                0.0447204,
+                0.0443809,
+                0.0439818,
+                0.0434735,
+                0.04271,
+                0.0414147,
+                0.0393827,
+                0.0365129,
+                0.0327447,
+                0.0280448,
+                0.0224031,
+                0.0158292,
+                0.00834782,
+                -5.98864e-06,
+                -0.0187013,
+                -0.0393427,
+                -0.15331,
+                -1.78882
+              ],
+              [
+                9.47055,
+                0.774344,
+                0.0990201,
+                0.044986,
+                0.040176,
+                0.0400485,
+                0.0399195,
+                0.0397826,
+                0.0396364,
+                0.0394788,
+                0.039307,
+                0.0391164,
+                0.0388997,
+                0.0386421,
+                0.0383078,
+                0.0377835,
+                0.0368442,
+                0.0352974,
+                0.0330049,
+                0.0298578,
+                0.025783,
+                0.0207434,
+                0.0147324,
+                0.0077663,
+                -0.000123311,
+                -0.0180839,
+                -0.0382168,
+                -0.152404,
+                -1.78895
+              ],
+              [
+                9.46983,
+                0.794076,
+                0.119251,
+                0.0490834,
+                0.0320245,
+                0.031786,
+                0.0316405,
+                0.0314925,
+                0.0313394,
+                0.03118,
+                0.031013,
+                0.0308363,
+                0.0306463,
+                0.030436,
+                0.0301859,
+                0.0298287,
+                0.0292321,
+                0.0282727,
+                0.0267869,
+                0.0245468,
+                0.0213626,
+                0.0171401,
+                0.0118553,
+                0.00552223,
+                -0.00182381,
+                -0.0190069,
+                -0.0386916,
+                -0.15374,
+                -1.79265
+              ],
+              [
+                9.46896,
+                0.813888,
+                0.139875,
+                0.0606228,
+                0.0233603,
+                0.0216558,
+                0.02099,
+                0.0203596,
+                0.019732,
+                0.0191018,
+                0.018467,
+                0.0178264,
+                0.0171779,
+                0.0165176,
+                0.0158333,
+                0.0150753,
+                0.014158,
+                0.0130417,
+                0.0117039,
+                0.010059,
+                0.00780078,
+                0.00447937,
+                -8.81147e-05,
+                -0.00588835,
+                -0.0128613,
+                -0.0298105,
+                -0.0497508,
+                -0.168414,
+                -1.81519
+              ],
+              [
+                9.46846,
+                0.834529,
+                0.161083,
+                0.0754459,
+                0.0152003,
+                0.00682943,
+                0.00434271,
+                0.00242294,
+                0.000616407,
+                -0.00114633,
+                -0.00288659,
+                -0.00461351,
+                -0.00633224,
+                -0.0080474,
+                -0.00976927,
+                -0.0115433,
+                -0.013441,
+                -0.0154762,
+                -0.017632,
+                -0.0198958,
+                -0.0222929,
+                -0.0250299,
+                -0.028806,
+                -0.0340926,
+                -0.0408354,
+                -0.0581908,
+                -0.0792705,
+                -0.204982,
+                -1.86651
+              ],
+              [
+                9.46874,
+                0.85652,
+                0.183144,
+                0.0909693,
+                0.00826192,
+                -0.0129483,
+                -0.0200742,
+                -0.0238727,
+                -0.0270576,
+                -0.0300276,
+                -0.0328929,
+                -0.0356971,
+                -0.0384617,
+                -0.0411995,
+                -0.043924,
+                -0.0466819,
+                -0.0495371,
+                -0.0524855,
+                -0.0554945,
+                -0.0585391,
+                -0.0616093,
+                -0.0647157,
+                -0.0679742,
+                -0.0721679,
+                -0.0781266,
+                -0.0953282,
+                -0.117381,
+                -0.250563,
+                -1.92791
+              ],
+              [
+                9.4703,
+                0.880419,
+                0.206486,
+                0.106413,
+                0.00329946,
+                -0.0316082,
+                -0.0470395,
+                -0.0535248,
+                -0.0581457,
+                -0.0621862,
+                -0.0659639,
+                -0.0695961,
+                -0.0731377,
+                -0.0766184,
+                -0.08006,
+                -0.0835119,
+                -0.0870224,
+                -0.0905684,
+                -0.0941184,
+                -0.0976579,
+                -0.101183,
+                -0.104696,
+                -0.108206,
+                -0.111801,
+                -0.116405,
+                -0.132204,
+                -0.154509,
+                -0.293953,
+                -1.98444
+              ],
+              [
+                9.47348,
+                0.906222,
+                0.231344,
+                0.121768,
+                0.000838296,
+                -0.0460948,
+                -0.0719607,
+                -0.0824456,
+                -0.0887343,
+                -0.0938239,
+                -0.0984058,
+                -0.102718,
+                -0.106868,
+                -0.11091,
+                -0.114879,
+                -0.118822,
+                -0.122754,
+                -0.12666,
+                -0.130536,
+                -0.134384,
+                -0.138211,
+                -0.142021,
+                -0.14582,
+                -0.149619,
+                -0.153631,
+                -0.1669,
+                -0.188725,
+                -0.333427,
+                -2.03429
+              ],
+              [
+                9.47779,
+                0.933986,
+                0.25668,
+                0.136359,
+                0.000159295,
+                -0.0569216,
+                -0.0935688,
+                -0.109743,
+                -0.118127,
+                -0.124354,
+                -0.129726,
+                -0.134662,
+                -0.139341,
+                -0.143853,
+                -0.148252,
+                -0.152577,
+                -0.15684,
+                -0.161053,
+                -0.165226,
+                -0.169367,
+                -0.173483,
+                -0.177581,
+                -0.181669,
+                -0.185753,
+                -0.189877,
+                -0.200567,
+                -0.220877,
+                -0.370046,
+                -2.07947
+              ],
+              [
+                9.48244,
+                0.962127,
+                0.280914,
+                0.149247,
+                3.11038e-05,
+                -0.0655723,
+                -0.112252,
+                -0.135788,
+                -0.146909,
+                -0.154448,
+                -0.160652,
+                -0.166205,
+                -0.171383,
+                -0.176322,
+                -0.1811,
+                -0.18576,
+                -0.190333,
+                -0.194837,
+                -0.199287,
+                -0.203696,
+                -0.208071,
+                -0.212422,
+                -0.216758,
+                -0.221086,
+                -0.225423,
+                -0.234784,
+                -0.252226,
+                -0.404887,
+                -2.1217
+              ],
+              [
+                9.48712,
+                0.990175,
+                0.303257,
+                0.160321,
+                6.34048e-06,
+                -0.072774,
+                -0.128311,
+                -0.160401,
+                -0.175145,
+                -0.184259,
+                -0.191383,
+                -0.197578,
+                -0.203254,
+                -0.208605,
+                -0.213736,
+                -0.21871,
+                -0.223567,
+                -0.228336,
+                -0.233034,
+                -0.237677,
+                -0.242277,
+                -0.246844,
+                -0.251389,
+                -0.25592,
+                -0.260451,
+                -0.269676,
+                -0.283661,
+                -0.438638,
+                -2.16204
+              ],
+              [
+                9.49178,
+                1.01799,
+                0.32334,
+                0.169805,
+                1.24396e-06,
+                -0.0788483,
+                -0.142038,
+                -0.183132,
+                -0.202617,
+                -0.213675,
+                -0.22185,
+                -0.228739,
+                -0.234931,
+                -0.240694,
+                -0.246172,
+                -0.251448,
+                -0.256576,
+                -0.26159,
+                -0.266516,
+                -0.271371,
+                -0.276171,
+                -0.280929,
+                -0.285655,
+                -0.290363,
+                -0.295063,
+                -0.304521,
+                -0.315908,
+                -0.471704,
+                -2.20107
+              ],
+              [
+                9.49641,
+                1.04547,
+                0.340996,
+                0.177939,
+                2.02194e-07,
+                -0.0840033,
+                -0.153765,
+                -0.203586,
+                -0.229054,
+                -0.242555,
+                -0.25196,
+                -0.259618,
+                -0.266359,
+                -0.272549,
+                -0.278378,
+                -0.283954,
+                -0.289345,
+                -0.294595,
+                -0.299735,
+                -0.30479,
+                -0.309776,
+                -0.314708,
+                -0.3196,
+                -0.324465,
+                -0.329317,
+                -0.339047,
+                -0.349356,
+                -0.504338,
+                -2.23915
+              ],
+              [
+                9.50101,
+                1.07257,
+                0.356253,
+                0.184931,
+                2.62769e-08,
+                -0.088397,
+                -0.1638,
+                -0.221571,
+                -0.254152,
+                -0.270753,
+                -0.281625,
+                -0.290152,
+                -0.297491,
+                -0.304133,
+                -0.310324,
+                -0.316204,
+                -0.321857,
+                -0.32734,
+                -0.33269,
+                -0.337936,
+                -0.343099,
+                -0.348196,
+                -0.353243,
+                -0.358254,
+                -0.363246,
+                -0.373235,
+                -0.383443,
+                -0.536751,
+                -2.27649
+              ],
+              [
+                9.50558,
+                1.09918,
+                0.369303,
+                0.190954,
+                8.79281e-09,
+                -0.092154,
+                -0.172411,
+                -0.237137,
+                -0.277581,
+                -0.298113,
+                -0.310761,
+                -0.320288,
+                -0.328288,
+                -0.335415,
+                -0.341987,
+                -0.34818,
+                -0.354101,
+                -0.359817,
+                -0.365376,
+                -0.37081,
+                -0.376146,
+                -0.381402,
+                -0.386598,
+                -0.391749,
+                -0.396872,
+                -0.407105,
+                -0.417473,
+                -0.569157,
+                -2.31321
+              ],
+              [
+                9.5101,
+                1.12524,
+                0.38042,
+                0.196148,
+                7.84989e-09,
+                -0.0953751,
+                -0.179817,
+                -0.250509,
+                -0.299017,
+                -0.324454,
+                -0.339282,
+                -0.349973,
+                -0.358714,
+                -0.366369,
+                -0.373347,
+                -0.379869,
+                -0.386065,
+                -0.39202,
+                -0.397789,
+                -0.403412,
+                -0.408919,
+                -0.414333,
+                -0.419674,
+                -0.42496,
+                -0.43021,
+                -0.440676,
+                -0.451243,
+                -0.601714,
+                -2.34939
+              ],
+              [
+                9.51458,
+                1.15064,
+                0.389891,
+                0.200632,
+                7.84571e-09,
+                -0.0981417,
+                -0.1862,
+                -0.261978,
+                -0.318204,
+                -0.349564,
+                -0.36709,
+                -0.379156,
+                -0.388736,
+                -0.396972,
+                -0.404387,
+                -0.411257,
+                -0.417742,
+                -0.423942,
+                -0.429926,
+                -0.435741,
+                -0.44142,
+                -0.446991,
+                -0.452476,
+                -0.457896,
+                -0.46327,
+                -0.473961,
+                -0.484728,
+                -0.634465,
+                -2.38509
+              ],
+              [
+                9.5234,
+                1.19904,
+                0.40489,
+                0.207842,
+                8.00961e-09,
+                -0.102567,
+                -0.196473,
+                -0.280313,
+                -0.349573,
+                -0.395085,
+                -0.420083,
+                -0.435771,
+                -0.447429,
+                -0.457036,
+                -0.465447,
+                -0.473089,
+                -0.4802,
+                -0.486926,
+                -0.493363,
+                -0.499576,
+                -0.50561,
+                -0.511502,
+                -0.517279,
+                -0.522967,
+                -0.528587,
+                -0.539719,
+                -0.55087,
+                -0.700294,
+                -2.45513
+              ],
+              [
+                9.53198,
+                1.24328,
+                0.415883,
+                0.213188,
+                8.40285e-09,
+                -0.105838,
+                -0.204144,
+                -0.293957,
+                -0.372675,
+                -0.432662,
+                -0.468515,
+                -0.489544,
+                -0.504046,
+                -0.515412,
+                -0.525038,
+                -0.533588,
+                -0.541415,
+                -0.548729,
+                -0.555663,
+                -0.562305,
+                -0.568718,
+                -0.574947,
+                -0.581029,
+                -0.586993,
+                -0.592866,
+                -0.60444,
+                -0.615966,
+                -0.76595,
+                -2.52353
+              ],
+              [
+                9.55543,
+                1.33711,
+                0.433775,
+                0.221845,
+                1.23413e-08,
+                -0.11119,
+                -0.217118,
+                -0.317363,
+                -0.411256,
+                -0.49722,
+                -0.57063,
+                -0.623397,
+                -0.656093,
+                -0.677467,
+                -0.693225,
+                -0.705944,
+                -0.716834,
+                -0.726529,
+                -0.735391,
+                -0.743644,
+                -0.751435,
+                -0.758866,
+                -0.76601,
+                -0.772922,
+                -0.779647,
+                -0.792681,
+                -0.805395,
+                -0.95775,
+                -2.71992
+              ],
+              [
+                9.57563,
+                1.37052,
+                0.438028,
+                0.223418,
+                3.51774e-08,
+                -0.112572,
+                -0.221804,
+                -0.327521,
+                -0.429529,
+                -0.527579,
+                -0.621285,
+                -0.709842,
+                -0.7912,
+                -0.860491,
+                -0.912015,
+                -0.947165,
+                -0.972025,
+                -0.991037,
+                -1.00657,
+                -1.01989,
+                -1.03169,
+                -1.0424,
+                -1.05231,
+                -1.0616,
+                -1.07039,
+                -1.08685,
+                -1.10226,
+                -1.2592,
+                -3.02283
+              ]
+            ]
+          },
+          "is_inverting": "true",
+          "is_needed": "true",
+          "miller_cap_fall": 0.000231926,
+          "miller_cap_rise": 0.000480662,
+          "output_voltage_fall": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.0112009,
+                  0.0181832,
+                  0.0259194,
+                  0.0341678,
+                  0.0450331
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.0580743,
+                  0.0740067,
+                  0.0853232,
+                  0.0946948,
+                  0.1051774
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              }
+            ]
+          },
+          "output_voltage_rise": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.077964,
+                  0.1202287,
+                  0.1650655,
+                  0.2178629,
+                  0.3171575
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.1392463,
+                  0.1816602,
+                  0.2268424,
+                  0.2802937,
+                  0.379188
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              }
+            ]
+          },
+          "propagated_noise_high": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  0.914503
+                ],
+                "index_2": [
+                  0.657354
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.32013,
+                  0.3472553,
+                  0.4024351,
+                  0.4649208,
+                  0.5296882
+                ],
+                "values": [
+                  1.63184,
+                  1.44095,
+                  1.31369,
+                  1.44095,
+                  1.63184
+                ]
+              },
+              {
+                "index_1": [
+                  1.03413
+                ],
+                "index_2": [
+                  0.350812
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.1714767,
+                  0.1908662,
+                  0.2252299,
+                  0.2866516,
+                  0.344932
+                ],
+                "values": [
+                  1.58658,
+                  1.36852,
+                  1.22315,
+                  1.36852,
+                  1.58658
+                ]
+              },
+              {
+                "index_1": [
+                  1.15771
+                ],
+                "index_2": [
+                  0.22287
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.1092103,
+                  0.1240341,
+                  0.1570226,
+                  0.2071153,
+                  0.2581682
+                ],
+                "values": [
+                  1.56471,
+                  1.33353,
+                  1.17941,
+                  1.33353,
+                  1.56471
+                ]
+              },
+              {
+                "index_1": [
+                  0.914503
+                ],
+                "index_2": [
+                  0.328677
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.1613398,
+                  0.1747575,
+                  0.1996679,
+                  0.2493113,
+                  0.3103512
+                ],
+                "values": [
+                  1.77856,
+                  1.67569,
+                  1.60711,
+                  1.67569,
+                  1.77856
+                ]
+              },
+              {
+                "index_1": [
+                  1.03413
+                ],
+                "index_2": [
+                  0.175406
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.0866535,
+                  0.0959069,
+                  0.1147329,
+                  0.1594347,
+                  0.2100598
+                ],
+                "values": [
+                  1.76303,
+                  1.65085,
+                  1.57606,
+                  1.65085,
+                  1.76303
+                ]
+              },
+              {
+                "index_1": [
+                  1.15771
+                ],
+                "index_2": [
+                  0.111435
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.0553223,
+                  0.0622342,
+                  0.0739273,
+                  0.1195291,
+                  0.1631942
+                ],
+                "values": [
+                  1.7537,
+                  1.63592,
+                  1.5574,
+                  1.63592,
+                  1.7537
+                ]
+              },
+              {
+                "index_1": [
+                  1.03413
+                ],
+                "index_2": [
+                  0.526218
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.2576687,
+                  0.287975,
+                  0.3409204,
+                  0.4163072,
+                  0.4800828
+                ],
+                "values": [
+                  1.41238,
+                  1.0898,
+                  0.874754,
+                  1.0898,
+                  1.41238
+                ]
+              }
+            ]
+          },
+          "propagated_noise_low": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.11989
+                ],
+                "index_2": [
+                  7.81697
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  3.7778675,
+                  3.8849003,
+                  3.9530461,
+                  4.0429827,
+                  4.1495561
+                ],
+                "values": [
+                  0.0265663,
+                  0.042506,
+                  0.0531326,
+                  0.042506,
+                  0.0265663
+                ]
+              },
+              {
+                "index_1": [
+                  1.19689
+                ],
+                "index_2": [
+                  4.52367
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  2.2345162,
+                  2.28763,
+                  2.3597967,
+                  2.4242371,
+                  2.4727993
+                ],
+                "values": [
+                  0.114605,
+                  0.183367,
+                  0.229209,
+                  0.183367,
+                  0.114605
+                ]
+              },
+              {
+                "index_1": [
+                  1.2664
+                ],
+                "index_2": [
+                  3.02484
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  1.5027491,
+                  1.5558516,
+                  1.6241105,
+                  1.7085737,
+                  1.7560654
+                ],
+                "values": [
+                  0.249585,
+                  0.399337,
+                  0.499171,
+                  0.399337,
+                  0.249585
+                ]
+              },
+              {
+                "index_1": [
+                  1.11989
+                ],
+                "index_2": [
+                  3.90848
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  1.9170115,
+                  1.9580723,
+                  1.9964126,
+                  2.0604038,
+                  2.1250319
+                ],
+                "values": [
+                  0.0227217,
+                  0.0363547,
+                  0.0454433,
+                  0.0363547,
+                  0.0227217
+                ]
+              },
+              {
+                "index_1": [
+                  1.19689
+                ],
+                "index_2": [
+                  2.26184
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  1.1322936,
+                  1.1583229,
+                  1.1983208,
+                  1.2376127,
+                  1.2713943
+                ],
+                "values": [
+                  0.06171,
+                  0.098736,
+                  0.12342,
+                  0.098736,
+                  0.06171
+                ]
+              },
+              {
+                "index_1": [
+                  1.2664
+                ],
+                "index_2": [
+                  1.51242
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.7728612,
+                  0.7979217,
+                  0.8337056,
+                  0.8718546,
+                  0.8967639
+                ],
+                "values": [
+                  0.109243,
+                  0.174789,
+                  0.218486,
+                  0.174789,
+                  0.109243
+                ]
+              },
+              {
+                "index_1": [
+                  1.19689
+                ],
+                "index_2": [
+                  6.78551
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  3.3483522,
+                  3.4194051,
+                  3.5060828,
+                  3.6053258,
+                  3.6698154
+                ],
+                "values": [
+                  0.147086,
+                  0.235337,
+                  0.294171,
+                  0.235337,
+                  0.147086
+                ]
+              }
+            ]
+          },
+          "stage_type": "both"
+        },
+        "ccsn_last_stage": {
+          "dc_current ccsn_dc": {
+            "index_1": [
+              -1.95,
+              -0.975,
+              -0.39,
+              -0.195,
+              0,
+              0.0975,
+              0.195,
+              0.2925,
+              0.39,
+              0.4875,
+              0.585,
+              0.6825,
+              0.78,
+              0.8775,
+              0.975,
+              1.0725,
+              1.17,
+              1.2675,
+              1.365,
+              1.4625,
+              1.56,
+              1.6575,
+              1.755,
+              1.8525,
+              1.95,
+              2.145,
+              2.34,
+              2.925,
+              3.9
+            ],
+            "index_2": [
+              -1.95,
+              -0.975,
+              -0.39,
+              -0.195,
+              0,
+              0.0975,
+              0.195,
+              0.2925,
+              0.39,
+              0.4875,
+              0.585,
+              0.6825,
+              0.78,
+              0.8775,
+              0.975,
+              1.0725,
+              1.17,
+              1.2675,
+              1.365,
+              1.4625,
+              1.56,
+              1.6575,
+              1.755,
+              1.8525,
+              1.95,
+              2.145,
+              2.34,
+              2.925,
+              3.9
+            ],
+            "values": [
+              [
+                7.67932,
+                0.876201,
+                0.639846,
+                0.616236,
+                0.587251,
+                0.570544,
+                0.552401,
+                0.532848,
+                0.511902,
+                0.489572,
+                0.465871,
+                0.440809,
+                0.414401,
+                0.386663,
+                0.357612,
+                0.327269,
+                0.295654,
+                0.26279,
+                0.228702,
+                0.193416,
+                0.156959,
+                0.11936,
+                0.0806464,
+                0.0408497,
+                -1.06206e-08,
+                -0.0801708,
+                -0.156153,
+                -0.42187,
+                -4.0917
+              ],
+              [
+                7.48511,
+                0.702346,
+                0.487858,
+                0.476099,
+                0.46307,
+                0.455885,
+                0.448091,
+                0.439501,
+                0.429845,
+                0.41875,
+                0.405783,
+                0.390649,
+                0.373295,
+                0.353757,
+                0.332058,
+                0.308205,
+                0.282209,
+                0.254084,
+                0.223849,
+                0.19153,
+                0.15716,
+                0.120777,
+                0.0824228,
+                0.0421464,
+                -1.95288e-09,
+                -0.0838169,
+                -0.163797,
+                -0.440862,
+                -4.09786
+              ],
+              [
+                7.36443,
+                0.569494,
+                0.353146,
+                0.345042,
+                0.336441,
+                0.331895,
+                0.327143,
+                0.322137,
+                0.316815,
+                0.311087,
+                0.304826,
+                0.297834,
+                0.289808,
+                0.280272,
+                0.268619,
+                0.254381,
+                0.237391,
+                0.217603,
+                0.194989,
+                0.169535,
+                0.141236,
+                0.110103,
+                0.0761601,
+                0.0394427,
+                -1.95255e-09,
+                -0.0804852,
+                -0.159062,
+                -0.436054,
+                -4.09704
+              ],
+              [
+                7.32923,
+                0.552579,
+                0.302891,
+                0.295782,
+                0.288317,
+                0.284413,
+                0.280366,
+                0.276148,
+                0.271719,
+                0.267026,
+                0.261996,
+                0.25652,
+                0.250434,
+                0.243471,
+                0.2352,
+                0.22498,
+                0.212176,
+                0.196465,
+                0.177729,
+                0.15591,
+                0.130975,
+                0.102907,
+                0.0717066,
+                0.0373929,
+                -1.95244e-09,
+                -0.0775241,
+                -0.154375,
+                -0.430022,
+                -4.09579
+              ],
+              [
+                7.29164,
+                0.549761,
+                0.251052,
+                0.244853,
+                0.238425,
+                0.235094,
+                0.231669,
+                0.22813,
+                0.224454,
+                0.22061,
+                0.216556,
+                0.212233,
+                0.207554,
+                0.202385,
+                0.196509,
+                0.189554,
+                0.180898,
+                0.169759,
+                0.155597,
+                0.138186,
+                0.117422,
+                0.0932459,
+                0.0656221,
+                0.0345375,
+                -1.96576e-09,
+                -0.0732453,
+                -0.147474,
+                -0.420905,
+                -4.09386
+              ],
+              [
+                7.27241,
+                0.548276,
+                0.225059,
+                0.219085,
+                0.213147,
+                0.210084,
+                0.206945,
+                0.203715,
+                0.200377,
+                0.196907,
+                0.193274,
+                0.189434,
+                0.185325,
+                0.180852,
+                0.175868,
+                0.170121,
+                0.163161,
+                0.154247,
+                0.14256,
+                0.12763,
+                0.109266,
+                0.0873696,
+                0.061879,
+                0.0327596,
+                -2.26552e-09,
+                -0.070526,
+                -0.143049,
+                -0.415004,
+                -4.09257
+              ],
+              [
+                7.25315,
+                0.546886,
+                0.200797,
+                0.193338,
+                0.187872,
+                0.185067,
+                0.182201,
+                0.179265,
+                0.176245,
+                0.173123,
+                0.169876,
+                0.166472,
+                0.162867,
+                0.158996,
+                0.154758,
+                0.149988,
+                0.144391,
+                0.137419,
+                0.12819,
+                0.115891,
+                0.100129,
+                0.0807387,
+                0.057624,
+                0.0307229,
+                -9.21907e-09,
+                -0.0673726,
+                -0.137892,
+                -0.408108,
+                -4.09106
+              ],
+              [
+                7.23406,
+                0.546031,
+                0.183183,
+                0.167842,
+                0.162807,
+                0.16025,
+                0.157648,
+                0.154992,
+                0.152273,
+                0.149477,
+                0.146588,
+                0.143582,
+                0.14043,
+                0.137086,
+                0.133482,
+                0.129513,
+                0.124994,
+                0.119576,
+                0.112572,
+                0.10296,
+                0.0899803,
+                0.0733197,
+                0.0528284,
+                0.0284101,
+                -1.7003e-07,
+                -0.0637508,
+                -0.131942,
+                -0.400146,
+                -4.08927
+              ],
+              [
+                7.21537,
+                0.545945,
+                0.176397,
+                0.14322,
+                0.138192,
+                0.135878,
+                0.13353,
+                0.131144,
+                0.128712,
+                0.126224,
+                0.12367,
+                0.121032,
+                0.11829,
+                0.115413,
+                0.112358,
+                0.109057,
+                0.105397,
+                0.101174,
+                0.0959659,
+                0.0888904,
+                0.0788127,
+                0.0650898,
+                0.0474674,
+                0.0258031,
+                -3.82634e-06,
+                -0.0596318,
+                -0.125146,
+                -0.391056,
+                -4.08721
+              ],
+              [
+                7.19734,
+                0.54692,
+                0.177706,
+                0.121993,
+                0.11431,
+                0.112228,
+                0.110126,
+                0.107999,
+                0.10584,
+                0.103644,
+                0.101402,
+                0.099104,
+                0.0967352,
+                0.0942763,
+                0.0916992,
+                0.0889623,
+                0.0859989,
+                0.0826936,
+                0.0788183,
+                0.0738379,
+                0.066613,
+                0.055991,
+                0.0414678,
+                0.0228254,
+                -8.07813e-05,
+                -0.0550653,
+                -0.117536,
+                -0.380901,
+                -4.08503
+              ],
+              [
+                7.18027,
+                0.549276,
+                0.183236,
+                0.109703,
+                0.0914892,
+                0.0895287,
+                0.0876205,
+                0.0856977,
+                0.0837556,
+                0.08179,
+                0.0797957,
+                0.0777658,
+                0.0756916,
+                0.0735608,
+                0.0713566,
+                0.0690542,
+                0.0666156,
+                0.0639785,
+                0.0610271,
+                0.0575038,
+                0.0526906,
+                0.0451656,
+                0.0338886,
+                0.0184741,
+                -0.0012829,
+                -0.0511903,
+                -0.110341,
+                -0.371273,
+                -4.08512
+              ],
+              [
+                7.16449,
+                0.55336,
+                0.191368,
+                0.107643,
+                0.0701076,
+                0.0672637,
+                0.06517,
+                0.0630928,
+                0.0610088,
+                0.0589126,
+                0.0568003,
+                0.0546679,
+                0.0525103,
+                0.0503207,
+                0.0480899,
+                0.0458043,
+                0.0434439,
+                0.0409768,
+                0.0383479,
+                0.0354459,
+                0.0319687,
+                0.0269111,
+                0.0186438,
+                0.00633225,
+                -0.0103369,
+                -0.0553342,
+                -0.111489,
+                -0.372076,
+                -4.10138
+              ],
+              [
+                7.15037,
+                0.559558,
+                0.201694,
+                0.111391,
+                0.0506001,
+                0.0423317,
+                0.0388847,
+                0.0358422,
+                0.0328796,
+                0.0299454,
+                0.0270227,
+                0.0241035,
+                0.0211824,
+                0.0182544,
+                0.0153142,
+                0.0123548,
+                0.00936644,
+                0.00633422,
+                0.00323392,
+                2.10191e-05,
+                -0.00340703,
+                -0.00742552,
+                -0.0134336,
+                -0.0230888,
+                -0.0370101,
+                -0.0777746,
+                -0.131753,
+                -0.395752,
+                -4.14963
+              ],
+              [
+                7.13835,
+                0.568298,
+                0.214273,
+                0.118038,
+                0.0334668,
+                0.0128863,
+                0.00550747,
+                0.000839129,
+                -0.00331723,
+                -0.00728694,
+                -0.0111627,
+                -0.0149821,
+                -0.018764,
+                -0.0225196,
+                -0.0262564,
+                -0.0299805,
+                -0.0336981,
+                -0.0374165,
+                -0.0411459,
+                -0.0449025,
+                -0.0487182,
+                -0.0526818,
+                -0.0572892,
+                -0.06437,
+                -0.0753911,
+                -0.111497,
+                -0.162988,
+                -0.430892,
+                -4.21164
+              ],
+              [
+                7.12888,
+                0.580069,
+                0.229396,
+                0.126606,
+                0.0192952,
+                -0.0157997,
+                -0.0306447,
+                -0.0376104,
+                -0.0429772,
+                -0.0478171,
+                -0.052406,
+                -0.056849,
+                -0.0611955,
+                -0.0654725,
+                -0.0696962,
+                -0.0738773,
+                -0.0780233,
+                -0.0821403,
+                -0.0862344,
+                -0.0903123,
+                -0.0943841,
+                -0.0984688,
+                -0.102639,
+                -0.107579,
+                -0.115422,
+                -0.145734,
+                -0.193653,
+                -0.463786,
+                -4.268
+              ],
+              [
+                7.12248,
+                0.595447,
+                0.247532,
+                0.137056,
+                0.00880236,
+                -0.0398668,
+                -0.0645305,
+                -0.074744,
+                -0.0814255,
+                -0.0870352,
+                -0.092164,
+                -0.0970241,
+                -0.101712,
+                -0.10628,
+                -0.110757,
+                -0.115163,
+                -0.119511,
+                -0.123811,
+                -0.128069,
+                -0.132292,
+                -0.136487,
+                -0.140662,
+                -0.144828,
+                -0.149056,
+                -0.15438,
+                -0.178059,
+                -0.221461,
+                -0.492678,
+                -4.31667
+              ],
+              [
+                7.11978,
+                0.61512,
+                0.269251,
+                0.149817,
+                0.00269878,
+                -0.0580194,
+                -0.0934375,
+                -0.108127,
+                -0.116392,
+                -0.122811,
+                -0.128451,
+                -0.133676,
+                -0.138646,
+                -0.143445,
+                -0.148122,
+                -0.152707,
+                -0.157221,
+                -0.161678,
+                -0.166091,
+                -0.170467,
+                -0.174815,
+                -0.179143,
+                -0.183459,
+                -0.187776,
+                -0.192304,
+                -0.209361,
+                -0.247463,
+                -0.519184,
+                -4.36028
+              ],
+              [
+                7.12144,
+                0.639492,
+                0.294366,
+                0.164628,
+                0.00052844,
+                -0.0708722,
+                -0.11713,
+                -0.137771,
+                -0.148139,
+                -0.155603,
+                -0.16191,
+                -0.167625,
+                -0.172989,
+                -0.178125,
+                -0.183102,
+                -0.187962,
+                -0.192735,
+                -0.197441,
+                -0.202093,
+                -0.206703,
+                -0.211281,
+                -0.215836,
+                -0.220377,
+                -0.224914,
+                -0.229493,
+                -0.241695,
+                -0.2732,
+                -0.54464,
+                -4.40083
+              ],
+              [
+                7.12738,
+                0.667238,
+                0.320729,
+                0.17949,
+                9.18172e-05,
+                -0.0807956,
+                -0.137416,
+                -0.165401,
+                -0.178502,
+                -0.187268,
+                -0.194387,
+                -0.200691,
+                -0.206523,
+                -0.212052,
+                -0.217372,
+                -0.222542,
+                -0.227598,
+                -0.232566,
+                -0.237467,
+                -0.242313,
+                -0.247117,
+                -0.25189,
+                -0.256642,
+                -0.261386,
+                -0.266139,
+                -0.276371,
+                -0.300026,
+                -0.569843,
+                -4.43948
+              ],
+              [
+                7.13565,
+                0.696136,
+                0.346377,
+                0.193004,
+                1.68844e-05,
+                -0.0892185,
+                -0.155357,
+                -0.191709,
+                -0.208225,
+                -0.218515,
+                -0.226536,
+                -0.233471,
+                -0.239789,
+                -0.245717,
+                -0.251379,
+                -0.256848,
+                -0.262175,
+                -0.267392,
+                -0.272522,
+                -0.277584,
+                -0.282592,
+                -0.287559,
+                -0.292498,
+                -0.297422,
+                -0.302345,
+                -0.312363,
+                -0.329009,
+                -0.595259,
+                -4.47686
+              ],
+              [
+                7.14448,
+                0.725279,
+                0.370616,
+                0.20498,
+                3.09334e-06,
+                -0.0965517,
+                -0.171259,
+                -0.216566,
+                -0.237301,
+                -0.249375,
+                -0.258395,
+                -0.266001,
+                -0.272822,
+                -0.279152,
+                -0.285152,
+                -0.290915,
+                -0.296501,
+                -0.301953,
+                -0.307299,
+                -0.312561,
+                -0.317756,
+                -0.3229,
+                -0.328006,
+                -0.333091,
+                -0.338169,
+                -0.348393,
+                -0.36087,
+                -0.621171,
+                -4.51336
+              ],
+              [
+                7.15338,
+                0.754466,
+                0.393147,
+                0.215533,
+                4.92373e-07,
+                -0.102967,
+                -0.185317,
+                -0.239704,
+                -0.265582,
+                -0.279769,
+                -0.289909,
+                -0.29824,
+                -0.305587,
+                -0.312331,
+                -0.31867,
+                -0.324724,
+                -0.330565,
+                -0.336245,
+                -0.341797,
+                -0.347249,
+                -0.35262,
+                -0.357929,
+                -0.363191,
+                -0.368424,
+                -0.373643,
+                -0.38412,
+                -0.395223,
+                -0.647759,
+                -4.54923
+              ],
+              [
+                7.16227,
+                0.783628,
+                0.413761,
+                0.224817,
+                5.23722e-08,
+                -0.108584,
+                -0.19772,
+                -0.2609,
+                -0.292894,
+                -0.309611,
+                -0.321023,
+                -0.330144,
+                -0.338051,
+                -0.345224,
+                -0.351912,
+                -0.358259,
+                -0.364355,
+                -0.370259,
+                -0.376013,
+                -0.381649,
+                -0.38719,
+                -0.392656,
+                -0.398066,
+                -0.403438,
+                -0.408789,
+                -0.419513,
+                -0.430499,
+                -0.675142,
+                -4.58465
+              ],
+              [
+                7.17111,
+                0.812719,
+                0.432324,
+                0.232976,
+                6.49319e-09,
+                -0.113502,
+                -0.208649,
+                -0.280024,
+                -0.319044,
+                -0.338807,
+                -0.351682,
+                -0.361677,
+                -0.370185,
+                -0.37781,
+                -0.384859,
+                -0.391506,
+                -0.397858,
+                -0.403988,
+                -0.409943,
+                -0.415761,
+                -0.421468,
+                -0.427087,
+                -0.43264,
+                -0.438144,
+                -0.443622,
+                -0.45458,
+                -0.465723,
+                -0.703395,
+                -4.61974
+              ],
+              [
+                7.17991,
+                0.841696,
+                0.448794,
+                0.240136,
+                4.82653e-09,
+                -0.117805,
+                -0.218272,
+                -0.297069,
+                -0.343821,
+                -0.36726,
+                -0.381834,
+                -0.392804,
+                -0.401965,
+                -0.410071,
+                -0.417497,
+                -0.424453,
+                -0.431069,
+                -0.437427,
+                -0.443584,
+                -0.449583,
+                -0.455455,
+                -0.461226,
+                -0.466918,
+                -0.472553,
+                -0.478152,
+                -0.489335,
+                -0.500674,
+                -0.732565,
+                -4.6546
+              ],
+              [
+                7.19739,
+                0.89901,
+                0.475773,
+                0.2519,
+                7.68233e-09,
+                -0.124849,
+                -0.234179,
+                -0.325375,
+                -0.388381,
+                -0.421472,
+                -0.440393,
+                -0.453713,
+                -0.464376,
+                -0.473553,
+                -0.4818,
+                -0.489419,
+                -0.496587,
+                -0.503419,
+                -0.509992,
+                -0.516361,
+                -0.522565,
+                -0.528639,
+                -0.534608,
+                -0.540499,
+                -0.546336,
+                -0.557951,
+                -0.56968,
+                -0.79372,
+                -4.72392
+              ],
+              [
+                7.21468,
+                0.955368,
+                0.495946,
+                0.260854,
+                1.25095e-08,
+                -0.130194,
+                -0.246431,
+                -0.347154,
+                -0.425141,
+                -0.471126,
+                -0.496179,
+                -0.51259,
+                -0.52511,
+                -0.535554,
+                -0.54474,
+                -0.553097,
+                -0.560869,
+                -0.568211,
+                -0.575224,
+                -0.58198,
+                -0.58853,
+                -0.594914,
+                -0.601166,
+                -0.607315,
+                -0.613389,
+                -0.625427,
+                -0.637528,
+                -0.858359,
+                -4.79299
+              ],
+              [
+                7.26519,
+                1.11236,
+                0.529533,
+                0.275979,
+                4.31658e-08,
+                -0.139259,
+                -0.26808,
+                -0.386052,
+                -0.491687,
+                -0.579232,
+                -0.638362,
+                -0.67284,
+                -0.694975,
+                -0.711286,
+                -0.724481,
+                -0.7358,
+                -0.745889,
+                -0.755116,
+                -0.76371,
+                -0.771823,
+                -0.779559,
+                -0.786994,
+                -0.794185,
+                -0.801179,
+                -0.808018,
+                -0.82138,
+                -0.834582,
+                -1.05682,
+                -4.99781
+              ],
+              [
+                7.3393,
+                1.26641,
+                0.540408,
+                0.279681,
+                1.70245e-07,
+                -0.141892,
+                -0.276838,
+                -0.404727,
+                -0.525387,
+                -0.638425,
+                -0.74263,
+                -0.834146,
+                -0.904919,
+                -0.952041,
+                -0.983262,
+                -1.00589,
+                -1.02374,
+                -1.03868,
+                -1.0517,
+                -1.06339,
+                -1.07409,
+                -1.08405,
+                -1.09343,
+                -1.10235,
+                -1.11088,
+                -1.12709,
+                -1.14252,
+                -1.36861,
+                -5.31383
+              ]
+            ]
+          },
+          "is_inverting": "true",
+          "is_needed": "true",
+          "miller_cap_fall": 0.000739184,
+          "miller_cap_rise": 0.000578439,
+          "output_voltage_fall": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.00735618
+                ],
+                "index_3": [
+                  0.0144857,
+                  0.021846,
+                  0.0296546,
+                  0.0380638,
+                  0.0488692
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              },
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.0220685
+                ],
+                "index_3": [
+                  0.0206742,
+                  0.0406903,
+                  0.0618279,
+                  0.084553,
+                  0.1136395
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.00735618
+                ],
+                "index_3": [
+                  0.0616108,
+                  0.0766727,
+                  0.0879621,
+                  0.0979176,
+                  0.108843
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.0220685
+                ],
+                "index_3": [
+                  0.0748644,
+                  0.1005019,
+                  0.1216158,
+                  0.1445416,
+                  0.1745483
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              }
+            ]
+          },
+          "output_voltage_rise": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.00366807
+                ],
+                "index_3": [
+                  0.0179593,
+                  0.0263871,
+                  0.0355364,
+                  0.0464587,
+                  0.0654984
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              },
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.0110042
+                ],
+                "index_3": [
+                  0.0247705,
+                  0.0459922,
+                  0.0691766,
+                  0.0965374,
+                  0.1416547
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.00366807
+                ],
+                "index_3": [
+                  0.0751528,
+                  0.0904477,
+                  0.1014917,
+                  0.1123985,
+                  0.1313127
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.0110042
+                ],
+                "index_3": [
+                  0.0871259,
+                  0.1113172,
+                  0.1343853,
+                  0.1616753,
+                  0.2067961
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              }
+            ]
+          },
+          "propagated_noise_high": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  0.926795
+                ],
+                "index_2": [
+                  0.80078
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.3909553,
+                  0.4174164,
+                  0.4589839,
+                  0.5138495,
+                  0.5525268
+                ],
+                "values": [
+                  1.62602,
+                  1.43163,
+                  1.30204,
+                  1.43163,
+                  1.62602
+                ]
+              },
+              {
+                "index_1": [
+                  1.04513
+                ],
+                "index_2": [
+                  0.427825
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.2106081,
+                  0.2311343,
+                  0.2649688,
+                  0.3088477,
+                  0.3408965
+                ],
+                "values": [
+                  1.53266,
+                  1.28226,
+                  1.11533,
+                  1.28226,
+                  1.53266
+                ]
+              },
+              {
+                "index_1": [
+                  1.16732
+                ],
+                "index_2": [
+                  0.270805
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.1348573,
+                  0.1513377,
+                  0.1834436,
+                  0.2153154,
+                  0.2418433
+                ],
+                "values": [
+                  1.48347,
+                  1.20355,
+                  1.01694,
+                  1.20355,
+                  1.48347
+                ]
+              },
+              {
+                "index_1": [
+                  0.926795
+                ],
+                "index_2": [
+                  0.40039
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.1983918,
+                  0.2125447,
+                  0.2439203,
+                  0.2689111,
+                  0.2958029
+                ],
+                "values": [
+                  1.76427,
+                  1.65283,
+                  1.57853,
+                  1.65283,
+                  1.76427
+                ]
+              },
+              {
+                "index_1": [
+                  1.16732
+                ],
+                "index_2": [
+                  0.135402
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.0702377,
+                  0.0787597,
+                  0.091361,
+                  0.1146539,
+                  0.1347185
+                ],
+                "values": [
+                  1.70394,
+                  1.55631,
+                  1.45789,
+                  1.55631,
+                  1.70394
+                ]
+              },
+              {
+                "index_1": [
+                  1.04513
+                ],
+                "index_2": [
+                  0.427825
+                ],
+                "index_3": [
+                  0.0220685
+                ],
+                "index_4": [
+                  0.2123767,
+                  0.2349324,
+                  0.2769634,
+                  0.3267411,
+                  0.3795024
+                ],
+                "values": [
+                  1.76757,
+                  1.65812,
+                  1.58515,
+                  1.65812,
+                  1.76757
+                ]
+              },
+              {
+                "index_1": [
+                  1.16732
+                ],
+                "index_2": [
+                  0.270805
+                ],
+                "index_3": [
+                  0.0220685
+                ],
+                "index_4": [
+                  0.1363905,
+                  0.1546725,
+                  0.1919711,
+                  0.2308602,
+                  0.2748328
+                ],
+                "values": [
+                  1.75015,
+                  1.63024,
+                  1.5503,
+                  1.63024,
+                  1.75015
+                ]
+              }
+            ]
+          },
+          "propagated_noise_low": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.15341
+                ],
+                "index_2": [
+                  0.96143
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.4702677,
+                  0.4897436,
+                  0.5124296,
+                  0.5446385,
+                  0.5640324
+                ],
+                "values": [
+                  0.174849,
+                  0.279759,
+                  0.349699,
+                  0.279759,
+                  0.174849
+                ]
+              },
+              {
+                "index_1": [
+                  1.27397
+                ],
+                "index_2": [
+                  0.560477
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.2762441,
+                  0.293478,
+                  0.321592,
+                  0.3473403,
+                  0.36446
+                ],
+                "values": [
+                  0.336067,
+                  0.537708,
+                  0.672135,
+                  0.537708,
+                  0.336067
+                ]
+              },
+              {
+                "index_1": [
+                  1.38158
+                ],
+                "index_2": [
+                  0.382163
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.1896988,
+                  0.2057282,
+                  0.227276,
+                  0.2535829,
+                  0.268695
+                ],
+                "values": [
+                  0.426148,
+                  0.681837,
+                  0.852296,
+                  0.681837,
+                  0.426148
+                ]
+              },
+              {
+                "index_1": [
+                  1.15341
+                ],
+                "index_2": [
+                  0.480715
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.2366596,
+                  0.2475874,
+                  0.2618871,
+                  0.2789562,
+                  0.2909946
+                ],
+                "values": [
+                  0.111232,
+                  0.177971,
+                  0.222464,
+                  0.177971,
+                  0.111232
+                ]
+              },
+              {
+                "index_1": [
+                  1.38158
+                ],
+                "index_2": [
+                  0.191081
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.0976949,
+                  0.1059943,
+                  0.1190984,
+                  0.1314656,
+                  0.1398361
+                ],
+                "values": [
+                  0.235338,
+                  0.376541,
+                  0.470677,
+                  0.376541,
+                  0.235338
+                ]
+              },
+              {
+                "index_1": [
+                  1.27397
+                ],
+                "index_2": [
+                  0.560477
+                ],
+                "index_3": [
+                  0.0110042
+                ],
+                "index_4": [
+                  0.2760895,
+                  0.296862,
+                  0.3281016,
+                  0.3588566,
+                  0.3787782
+                ],
+                "values": [
+                  0.164087,
+                  0.26254,
+                  0.328175,
+                  0.26254,
+                  0.164087
+                ]
+              },
+              {
+                "index_1": [
+                  1.38158
+                ],
+                "index_2": [
+                  0.382163
+                ],
+                "index_3": [
+                  0.0110042
+                ],
+                "index_4": [
+                  0.1907028,
+                  0.208358,
+                  0.2393691,
+                  0.2627517,
+                  0.2796988
+                ],
+                "values": [
+                  0.196862,
+                  0.314979,
+                  0.393724,
+                  0.314979,
+                  0.196862
+                ]
+              }
+            ]
+          },
+          "stage_type": "both"
+        },
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00139084,
+            0.00386886,
+            0.0107619,
+            0.0299362,
+            0.0832729,
+            0.231638
+          ],
+          "values": [
+            [
+              0.1524926,
+              0.1586527,
+              0.172462,
+              0.2006491,
+              0.2580334,
+              0.3850499,
+              0.7092842
+            ],
+            [
+              0.1558746,
+              0.1620237,
+              0.1757153,
+              0.2039771,
+              0.2613642,
+              0.3883688,
+              0.7126501
+            ],
+            [
+              0.1663846,
+              0.1725234,
+              0.1863443,
+              0.2145611,
+              0.2720696,
+              0.3989232,
+              0.7229302
+            ],
+            [
+              0.1903699,
+              0.1965266,
+              0.2101986,
+              0.2385763,
+              0.2959132,
+              0.4228869,
+              0.7474188
+            ],
+            [
+              0.2405961,
+              0.2468687,
+              0.260769,
+              0.2891906,
+              0.3468503,
+              0.473653,
+              0.7980631
+            ],
+            [
+              0.331062,
+              0.3378484,
+              0.3528583,
+              0.3833881,
+              0.4448527,
+              0.5750708,
+              0.8999342
+            ],
+            [
+              0.5186565,
+              0.5261119,
+              0.5432114,
+              0.5777603,
+              0.6456517,
+              0.7820559,
+              1.1073227
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00139084,
+            0.00386886,
+            0.0107619,
+            0.0299362,
+            0.0832729,
+            0.231638
+          ],
+          "values": [
+            [
+              0.040768,
+              0.0456297,
+              0.0579142,
+              0.0897985,
+              0.1762172,
+              0.4138047,
+              1.0762101
+            ],
+            [
+              0.0444562,
+              0.049259,
+              0.061476,
+              0.0934148,
+              0.1798656,
+              0.4174951,
+              1.0770805
+            ],
+            [
+              0.0528443,
+              0.0575917,
+              0.0696403,
+              0.1013181,
+              0.1877826,
+              0.4254527,
+              1.0855474
+            ],
+            [
+              0.0630799,
+              0.0680241,
+              0.0800187,
+              0.1115408,
+              0.1978992,
+              0.435717,
+              1.0957526
+            ],
+            [
+              0.0656913,
+              0.0715467,
+              0.0841588,
+              0.1154806,
+              0.2020961,
+              0.4403869,
+              1.1008945
+            ],
+            [
+              0.0408689,
+              0.0481852,
+              0.0633666,
+              0.0953578,
+              0.1809587,
+              0.4187808,
+              1.0790725
+            ],
+            [
+              -0.0601588,
+              -0.0511749,
+              -0.0317163,
+              0.005717,
+              0.0912596,
+              0.3285114,
+              0.9903569
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00139084,
+            0.00386886,
+            0.0107619,
+            0.0299362,
+            0.0832729,
+            0.231638
+          ],
+          "values": [
+            [
+              0.0239772,
+              0.0284481,
+              0.0388246,
+              0.0625694,
+              0.1164886,
+              0.2579096,
+              0.6679607
+            ],
+            [
+              0.0238943,
+              0.0284401,
+              0.0391561,
+              0.0626965,
+              0.1165298,
+              0.2580224,
+              0.6677306
+            ],
+            [
+              0.0239398,
+              0.0284983,
+              0.0391395,
+              0.0621432,
+              0.1165851,
+              0.2578522,
+              0.6746006
+            ],
+            [
+              0.0238662,
+              0.0284738,
+              0.0389093,
+              0.0620095,
+              0.1166324,
+              0.2579852,
+              0.6762809
+            ],
+            [
+              0.0253641,
+              0.0297294,
+              0.0401484,
+              0.0632856,
+              0.1163782,
+              0.258046,
+              0.6724858
+            ],
+            [
+              0.0296588,
+              0.0345067,
+              0.0451786,
+              0.0695285,
+              0.1239038,
+              0.2618064,
+              0.6686633
+            ],
+            [
+              0.0382879,
+              0.043432,
+              0.0550986,
+              0.0815672,
+              0.1362185,
+              0.2723196,
+              0.6757527
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00139084,
+            0.00386886,
+            0.0107619,
+            0.0299362,
+            0.0832729,
+            0.231638
+          ],
+          "values": [
+            [
+              0.0138258,
+              0.0192161,
+              0.0345295,
+              0.0781766,
+              0.2001483,
+              0.5418752,
+              1.4930318
+            ],
+            [
+              0.013756,
+              0.0191264,
+              0.0344462,
+              0.0781052,
+              0.2005785,
+              0.5414279,
+              1.4891027
+            ],
+            [
+              0.0138478,
+              0.0191553,
+              0.0343644,
+              0.077977,
+              0.2003513,
+              0.541114,
+              1.4900193
+            ],
+            [
+              0.0156899,
+              0.0205588,
+              0.0350484,
+              0.0780145,
+              0.2002403,
+              0.5423931,
+              1.4923758
+            ],
+            [
+              0.0192865,
+              0.0240599,
+              0.0372705,
+              0.0785431,
+              0.1999136,
+              0.5406814,
+              1.4934569
+            ],
+            [
+              0.0247683,
+              0.0304057,
+              0.0433291,
+              0.0810782,
+              0.2010331,
+              0.5409241,
+              1.4882096
+            ],
+            [
+              0.0325968,
+              0.0397919,
+              0.0549048,
+              0.0898821,
+              0.202637,
+              0.5445799,
+              1.4971957
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "ccsn_first_stage": {
+          "dc_current ccsn_dc": {
+            "index_1": [
+              -1.95,
+              -0.975,
+              -0.39,
+              -0.195,
+              0,
+              0.0975,
+              0.195,
+              0.2925,
+              0.39,
+              0.4875,
+              0.585,
+              0.6825,
+              0.78,
+              0.8775,
+              0.975,
+              1.0725,
+              1.17,
+              1.2675,
+              1.365,
+              1.4625,
+              1.56,
+              1.6575,
+              1.755,
+              1.8525,
+              1.95,
+              2.145,
+              2.34,
+              2.925,
+              3.9
+            ],
+            "index_2": [
+              -1.95,
+              -0.975,
+              -0.39,
+              -0.195,
+              0,
+              0.0975,
+              0.195,
+              0.2925,
+              0.39,
+              0.4875,
+              0.585,
+              0.6825,
+              0.78,
+              0.8775,
+              0.975,
+              1.0725,
+              1.17,
+              1.2675,
+              1.365,
+              1.4625,
+              1.56,
+              1.6575,
+              1.755,
+              1.8525,
+              1.95,
+              2.145,
+              2.34,
+              2.925,
+              3.9
+            ],
+            "values": [
+              [
+                9.44585,
+                0.57268,
+                0.0711152,
+                0.0699692,
+                0.0688198,
+                0.0682221,
+                0.0676055,
+                0.0669664,
+                0.0662999,
+                0.0655993,
+                0.0648548,
+                0.0640515,
+                0.0631655,
+                0.0621539,
+                0.0609266,
+                0.0592605,
+                0.0567525,
+                0.0531289,
+                0.048355,
+                0.0424891,
+                0.0356197,
+                0.0278442,
+                0.0192585,
+                0.00995046,
+                -7.41199e-08,
+                -0.0210637,
+                -0.0430186,
+                -0.155009,
+                -1.78902
+              ],
+              [
+                9.4452,
+                0.57191,
+                0.0703626,
+                0.069261,
+                0.0681565,
+                0.0675815,
+                0.066988,
+                0.0663724,
+                0.0657299,
+                0.065054,
+                0.0643351,
+                0.0635588,
+                0.0627016,
+                0.0617217,
+                0.0605308,
+                0.0589104,
+                0.056463,
+                0.052917,
+                0.0482313,
+                0.0424534,
+                0.0356597,
+                0.0279352,
+                0.0193652,
+                0.0100291,
+                -6.52379e-08,
+                -0.0213561,
+                -0.0437567,
+                -0.156519,
+                -1.7893
+              ],
+              [
+                9.44914,
+                0.578217,
+                0.0668109,
+                0.0658348,
+                0.0648587,
+                0.0643493,
+                0.0638227,
+                0.0632756,
+                0.0627037,
+                0.062101,
+                0.0614588,
+                0.0607639,
+                0.0599948,
+                0.0591129,
+                0.0580353,
+                0.056553,
+                0.0542843,
+                0.0509694,
+                0.0465604,
+                0.0410882,
+                0.0346105,
+                0.0271946,
+                0.0189101,
+                0.009824,
+                -4.68315e-08,
+                -0.0210967,
+                -0.0434319,
+                -0.156711,
+                -1.78935
+              ],
+              [
+                9.45502,
+                0.61236,
+                0.0640714,
+                0.0631945,
+                0.0623193,
+                0.0618614,
+                0.061387,
+                0.0608933,
+                0.0603762,
+                0.0598303,
+                0.0592474,
+                0.0586154,
+                0.0579143,
+                0.0571077,
+                0.0561174,
+                0.054742,
+                0.0526125,
+                0.0494769,
+                0.0452796,
+                0.0400385,
+                0.0337978,
+                0.0266132,
+                0.0185452,
+                0.00965433,
+                -3.88269e-08,
+                -0.020846,
+                -0.0430432,
+                -0.156528,
+                -1.78932
+              ],
+              [
+                9.45937,
+                0.662672,
+                0.0596039,
+                0.0588752,
+                0.0581704,
+                0.0577989,
+                0.0574124,
+                0.0570082,
+                0.056583,
+                0.056132,
+                0.0556481,
+                0.0551209,
+                0.0545327,
+                0.0538515,
+                0.0530069,
+                0.0518116,
+                0.0499198,
+                0.0470886,
+                0.043246,
+                0.0383847,
+                0.0325261,
+                0.0257082,
+                0.0179789,
+                0.00939113,
+                -3.3481e-08,
+                -0.0204528,
+                -0.0424194,
+                -0.156152,
+                -1.78927
+              ],
+              [
+                9.46059,
+                0.688265,
+                0.0565731,
+                0.0556922,
+                0.0551137,
+                0.0548066,
+                0.0544854,
+                0.0541478,
+                0.0537909,
+                0.0534104,
+                0.0530001,
+                0.0525507,
+                0.0520466,
+                0.0514588,
+                0.0507231,
+                0.0496646,
+                0.0479558,
+                0.0453589,
+                0.0417854,
+                0.0372073,
+                0.0316284,
+                0.0250743,
+                0.0175847,
+                0.00920879,
+                -3.87795e-08,
+                -0.0201825,
+                -0.041989,
+                -0.155874,
+                -1.78923
+              ],
+              [
+                9.46103,
+                0.712331,
+                0.0545438,
+                0.0515016,
+                0.0510803,
+                0.0508549,
+                0.0506171,
+                0.0503651,
+                0.0500963,
+                0.0498072,
+                0.0494927,
+                0.0491448,
+                0.0487507,
+                0.0482858,
+                0.0476946,
+                0.0468205,
+                0.0453637,
+                0.0430894,
+                0.0398831,
+                0.035686,
+                0.0304776,
+                0.0242674,
+                0.0170864,
+                0.00897957,
+                -6.16426e-08,
+                -0.0198473,
+                -0.0414567,
+                -0.15552,
+                -1.78917
+              ],
+              [
+                9.46084,
+                0.7355,
+                0.0583915,
+                0.046154,
+                0.0458442,
+                0.0457025,
+                0.0455525,
+                0.045393,
+                0.0452218,
+                0.0450365,
+                0.0448331,
+                0.0446057,
+                0.0443448,
+                0.0440319,
+                0.043624,
+                0.0429938,
+                0.0418835,
+                0.0400597,
+                0.0373636,
+                0.0336892,
+                0.0289809,
+                0.0232273,
+                0.0164492,
+                0.00868852,
+                -3.42559e-07,
+                -0.0194305,
+                -0.0407994,
+                -0.155081,
+                -1.78911
+              ],
+              [
+                9.46028,
+                0.758203,
+                0.0716223,
+                0.0404285,
+                0.0396268,
+                0.0395331,
+                0.0394356,
+                0.0393335,
+                0.0392256,
+                0.0391105,
+                0.0389861,
+                0.0388492,
+                0.0386941,
+                0.0385102,
+                0.0382707,
+                0.0378903,
+                0.0371883,
+                0.0359562,
+                0.0339654,
+                0.0310176,
+                0.0269968,
+                0.0218607,
+                0.015618,
+                0.00830939,
+                -6.13938e-06,
+                -0.0189153,
+                -0.0399933,
+                -0.15455,
+                -1.78904
+              ],
+              [
+                9.45954,
+                0.780753,
+                0.09106,
+                0.0374856,
+                0.032949,
+                0.0328698,
+                0.032793,
+                0.0327135,
+                0.0326305,
+                0.0325433,
+                0.0324505,
+                0.0323502,
+                0.0322393,
+                0.032111,
+                0.0319481,
+                0.0316918,
+                0.0312353,
+                0.0304899,
+                0.0292932,
+                0.0273107,
+                0.0242362,
+                0.0199444,
+                0.0144219,
+                0.00770949,
+                -0.000124276,
+                -0.0183895,
+                -0.0391302,
+                -0.154076,
+                -1.7892
+              ],
+              [
+                9.45877,
+                0.803325,
+                0.113038,
+                0.0428861,
+                0.0261412,
+                0.0259152,
+                0.0257777,
+                0.0256395,
+                0.0254983,
+                0.0253532,
+                0.0252034,
+                0.0250478,
+                0.0248839,
+                0.0247073,
+                0.0245044,
+                0.0242245,
+                0.0237869,
+                0.0231632,
+                0.0223321,
+                0.0211622,
+                0.0192167,
+                0.0160129,
+                0.0114087,
+                0.005432,
+                -0.00183497,
+                -0.0194348,
+                -0.0399322,
+                -0.155768,
+                -1.79238
+              ],
+              [
+                9.45808,
+                0.825716,
+                0.135992,
+                0.0565209,
+                0.0194209,
+                0.0177415,
+                0.0170673,
+                0.0164288,
+                0.0157941,
+                0.0151578,
+                0.0145183,
+                0.0138742,
+                0.0132241,
+                0.0125646,
+                0.0118848,
+                0.0111363,
+                0.0102493,
+                0.00922014,
+                0.00806747,
+                0.0067846,
+                0.00526261,
+                0.00299426,
+                -0.000718159,
+                -0.00604151,
+                -0.0128988,
+                -0.0303851,
+                -0.0513673,
+                -0.170013,
+                -1.81152
+              ],
+              [
+                9.45763,
+                0.84889,
+                0.1594,
+                0.073425,
+                0.0130522,
+                0.0047249,
+                0.00222741,
+                0.000291482,
+                -0.00153066,
+                -0.00330821,
+                -0.0050625,
+                -0.00680264,
+                -0.00853378,
+                -0.0102605,
+                -0.0119933,
+                -0.0137811,
+                -0.0156877,
+                -0.0176993,
+                -0.0197828,
+                -0.0219217,
+                -0.0241249,
+                -0.0264828,
+                -0.02956,
+                -0.0342912,
+                -0.0408637,
+                -0.0588508,
+                -0.0812082,
+                -0.204737,
+                -1.85505
+              ],
+              [
+                9.45765,
+                0.872719,
+                0.183166,
+                0.0905884,
+                0.00749189,
+                -0.0137312,
+                -0.0208524,
+                -0.0246668,
+                -0.0278688,
+                -0.0308555,
+                -0.0337367,
+                -0.0365562,
+                -0.0393358,
+                -0.0420883,
+                -0.044828,
+                -0.0476076,
+                -0.0504847,
+                -0.0534294,
+                -0.0564043,
+                -0.0593924,
+                -0.0623906,
+                -0.0654092,
+                -0.0685209,
+                -0.0723767,
+                -0.0781412,
+                -0.0960169,
+                -0.119602,
+                -0.24789,
+                -1.90723
+              ],
+              [
+                9.45845,
+                0.897632,
+                0.207466,
+                0.106973,
+                0.00328145,
+                -0.0317449,
+                -0.0471704,
+                -0.0536639,
+                -0.0582993,
+                -0.0623547,
+                -0.0661469,
+                -0.0697932,
+                -0.0733486,
+                -0.0768429,
+                -0.0802991,
+                -0.0837743,
+                -0.0873078,
+                -0.0908588,
+                -0.0944018,
+                -0.0979298,
+                -0.101443,
+                -0.104945,
+                -0.108444,
+                -0.11201,
+                -0.116501,
+                -0.132893,
+                -0.157011,
+                -0.289008,
+                -1.95548
+              ],
+              [
+                9.46041,
+                0.924143,
+                0.232569,
+                0.122583,
+                0.000931695,
+                -0.0462173,
+                -0.072095,
+                -0.0825663,
+                -0.0888604,
+                -0.0939589,
+                -0.0985503,
+                -0.102872,
+                -0.107031,
+                -0.111082,
+                -0.115062,
+                -0.119022,
+                -0.122969,
+                -0.126885,
+                -0.130769,
+                -0.134626,
+                -0.138461,
+                -0.142278,
+                -0.146086,
+                -0.149893,
+                -0.153866,
+                -0.167412,
+                -0.191284,
+                -0.326376,
+                -1.99825
+              ],
+              [
+                9.46346,
+                0.952052,
+                0.257976,
+                0.137238,
+                0.000184671,
+                -0.0571918,
+                -0.0938808,
+                -0.110001,
+                -0.118374,
+                -0.124602,
+                -0.129977,
+                -0.134918,
+                -0.139602,
+                -0.14412,
+                -0.148526,
+                -0.152858,
+                -0.157128,
+                -0.161347,
+                -0.165525,
+                -0.169672,
+                -0.173794,
+                -0.177897,
+                -0.18199,
+                -0.186079,
+                -0.190196,
+                -0.200753,
+                -0.222754,
+                -0.360728,
+                -2.03713
+              ],
+              [
+                9.46693,
+                0.980353,
+                0.282363,
+                0.150232,
+                3.57882e-05,
+                -0.0659374,
+                -0.112709,
+                -0.136144,
+                -0.147227,
+                -0.154757,
+                -0.16096,
+                -0.166515,
+                -0.171696,
+                -0.176639,
+                -0.18142,
+                -0.186085,
+                -0.190661,
+                -0.195169,
+                -0.199624,
+                -0.204037,
+                -0.208417,
+                -0.212772,
+                -0.217112,
+                -0.221445,
+                -0.225783,
+                -0.23502,
+                -0.253027,
+                -0.392676,
+                -2.07349
+              ],
+              [
+                9.47047,
+                1.0085,
+                0.304903,
+                0.161422,
+                7.22563e-06,
+                -0.0732135,
+                -0.128908,
+                -0.160865,
+                -0.175526,
+                -0.184615,
+                -0.191733,
+                -0.197926,
+                -0.203603,
+                -0.208955,
+                -0.214089,
+                -0.219067,
+                -0.223928,
+                -0.228699,
+                -0.233401,
+                -0.238048,
+                -0.242652,
+                -0.247223,
+                -0.251771,
+                -0.256307,
+                -0.260841,
+                -0.270042,
+                -0.283744,
+                -0.422684,
+                -2.10817
+              ],
+              [
+                9.474,
+                1.03635,
+                0.325205,
+                0.171013,
+                1.41455e-06,
+                -0.0793545,
+                -0.142774,
+                -0.183736,
+                -0.203074,
+                -0.214083,
+                -0.222242,
+                -0.229124,
+                -0.235314,
+                -0.241078,
+                -0.246557,
+                -0.251836,
+                -0.256966,
+                -0.261983,
+                -0.266912,
+                -0.271771,
+                -0.276574,
+                -0.281335,
+                -0.286066,
+                -0.290777,
+                -0.295481,
+                -0.304942,
+                -0.315924,
+                -0.451469,
+                -2.14172
+              ],
+              [
+                9.47751,
+                1.06382,
+                0.343091,
+                0.179245,
+                2.31744e-07,
+                -0.0845704,
+                -0.154632,
+                -0.204365,
+                -0.229608,
+                -0.243023,
+                -0.252397,
+                -0.260043,
+                -0.266778,
+                -0.272967,
+                -0.278796,
+                -0.284373,
+                -0.289765,
+                -0.295017,
+                -0.300161,
+                -0.305218,
+                -0.310207,
+                -0.315142,
+                -0.320038,
+                -0.324906,
+                -0.329762,
+                -0.3395,
+                -0.34966,
+                -0.479678,
+                -2.17449
+              ],
+              [
+                9.481,
+                1.09085,
+                0.358573,
+                0.186325,
+                2.72537e-08,
+                -0.0890192,
+                -0.164789,
+                -0.222547,
+                -0.254833,
+                -0.271294,
+                -0.282114,
+                -0.29062,
+                -0.297949,
+                -0.304586,
+                -0.310775,
+                -0.316655,
+                -0.322309,
+                -0.327793,
+                -0.333145,
+                -0.338393,
+                -0.343559,
+                -0.348659,
+                -0.353709,
+                -0.358724,
+                -0.363719,
+                -0.373717,
+                -0.383901,
+                -0.507727,
+                -2.20669
+              ],
+              [
+                9.48447,
+                1.11737,
+                0.371829,
+                0.192425,
+                5.89348e-09,
+                -0.092826,
+                -0.173512,
+                -0.238314,
+                -0.278427,
+                -0.298744,
+                -0.311311,
+                -0.320803,
+                -0.328787,
+                -0.335905,
+                -0.342473,
+                -0.348664,
+                -0.354584,
+                -0.360301,
+                -0.365861,
+                -0.371297,
+                -0.376635,
+                -0.381894,
+                -0.387092,
+                -0.392246,
+                -0.397373,
+                -0.407615,
+                -0.417987,
+                -0.535894,
+                -2.23842
+              ],
+              [
+                9.4879,
+                1.1433,
+                0.383127,
+                0.197689,
+                4.68902e-09,
+                -0.0960915,
+                -0.181019,
+                -0.251877,
+                -0.300067,
+                -0.325197,
+                -0.339903,
+                -0.350542,
+                -0.359257,
+                -0.366899,
+                -0.373869,
+                -0.380387,
+                -0.386581,
+                -0.392535,
+                -0.398305,
+                -0.403929,
+                -0.409437,
+                -0.414853,
+                -0.420197,
+                -0.425486,
+                -0.430739,
+                -0.441214,
+                -0.451791,
+                -0.564373,
+                -2.26975
+              ],
+              [
+                9.49131,
+                1.16857,
+                0.392755,
+                0.202234,
+                4.68224e-09,
+                -0.0988977,
+                -0.187494,
+                -0.263521,
+                -0.319493,
+                -0.350449,
+                -0.367795,
+                -0.379785,
+                -0.389328,
+                -0.397544,
+                -0.404948,
+                -0.411811,
+                -0.418292,
+                -0.424491,
+                -0.430474,
+                -0.436289,
+                -0.441969,
+                -0.447542,
+                -0.453029,
+                -0.458451,
+                -0.463828,
+                -0.474528,
+                -0.485306,
+                -0.593277,
+                -2.3007
+              ],
+              [
+                9.498,
+                1.21667,
+                0.408,
+                0.209544,
+                4.89452e-09,
+                -0.103389,
+                -0.197921,
+                -0.28215,
+                -0.351372,
+                -0.39637,
+                -0.421014,
+                -0.436549,
+                -0.448135,
+                -0.457705,
+                -0.466093,
+                -0.473721,
+                -0.480823,
+                -0.487544,
+                -0.493978,
+                -0.500189,
+                -0.506222,
+                -0.512114,
+                -0.517892,
+                -0.523581,
+                -0.529204,
+                -0.540343,
+                -0.551506,
+                -0.652403,
+                -2.36159
+              ],
+              [
+                9.50451,
+                1.26064,
+                0.419171,
+                0.214969,
+                5.38796e-09,
+                -0.106711,
+                -0.205715,
+                -0.296024,
+                -0.374918,
+                -0.4345,
+                -0.469787,
+                -0.490528,
+                -0.504898,
+                -0.516195,
+                -0.525783,
+                -0.534307,
+                -0.542119,
+                -0.549423,
+                -0.55635,
+                -0.562987,
+                -0.569397,
+                -0.575625,
+                -0.581706,
+                -0.58767,
+                -0.593544,
+                -0.605124,
+                -0.616662,
+                -0.712763,
+                -2.42122
+              ],
+              [
+                9.52226,
+                1.35408,
+                0.437352,
+                0.223756,
+                9.98135e-09,
+                -0.112152,
+                -0.218913,
+                -0.319853,
+                -0.41428,
+                -0.500532,
+                -0.573721,
+                -0.625712,
+                -0.657775,
+                -0.678818,
+                -0.694403,
+                -0.707021,
+                -0.71785,
+                -0.727503,
+                -0.736337,
+                -0.744569,
+                -0.752345,
+                -0.759765,
+                -0.766901,
+                -0.773807,
+                -0.780528,
+                -0.79356,
+                -0.806279,
+                -0.891999,
+                -2.59306
+              ],
+              [
+                9.53707,
+                1.38762,
+                0.441688,
+                0.225357,
+                3.5333e-08,
+                -0.113562,
+                -0.2237,
+                -0.330238,
+                -0.432976,
+                -0.531659,
+                -0.625882,
+                -0.714791,
+                -0.796177,
+                -0.864898,
+                -0.91542,
+                -0.94977,
+                -0.974153,
+                -0.992884,
+                -1.00824,
+                -1.02144,
+                -1.03316,
+                -1.04382,
+                -1.05369,
+                -1.06294,
+                -1.0717,
+                -1.08813,
+                -1.10351,
+                -1.1771,
+                -2.85911
+              ]
+            ]
+          },
+          "is_inverting": "true",
+          "is_needed": "true",
+          "miller_cap_fall": 0.000355302,
+          "miller_cap_rise": 0.00046975,
+          "output_voltage_fall": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.0122954,
+                  0.0188983,
+                  0.0256907,
+                  0.0327003,
+                  0.0416568
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.0592591,
+                  0.0733927,
+                  0.0836798,
+                  0.0923688,
+                  0.1021025
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              }
+            ]
+          },
+          "output_voltage_rise": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.060507,
+                  0.1027442,
+                  0.1477249,
+                  0.2014591,
+                  0.3001258
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.1205411,
+                  0.162731,
+                  0.2076857,
+                  0.2603169,
+                  0.3598017
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              }
+            ]
+          },
+          "propagated_noise_high": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  0.914671
+                ],
+                "index_2": [
+                  0.649149
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.3196126,
+                  0.3461023,
+                  0.3959117,
+                  0.4633761,
+                  0.5267636
+                ],
+                "values": [
+                  1.57686,
+                  1.35297,
+                  1.20371,
+                  1.35297,
+                  1.57686
+                ]
+              },
+              {
+                "index_1": [
+                  1.0341
+                ],
+                "index_2": [
+                  0.346838
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.1725641,
+                  0.1916849,
+                  0.2315263,
+                  0.2853302,
+                  0.3426465
+                ],
+                "values": [
+                  1.52445,
+                  1.26911,
+                  1.09889,
+                  1.26911,
+                  1.52445
+                ]
+              },
+              {
+                "index_1": [
+                  1.15748
+                ],
+                "index_2": [
+                  0.220404
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.1106008,
+                  0.1258049,
+                  0.1535002,
+                  0.2054525,
+                  0.2556657
+                ],
+                "values": [
+                  1.49498,
+                  1.22197,
+                  1.03996,
+                  1.22197,
+                  1.49498
+                ]
+              },
+              {
+                "index_1": [
+                  0.914671
+                ],
+                "index_2": [
+                  0.324575
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.1629035,
+                  0.1769983,
+                  0.2060715,
+                  0.246891,
+                  0.308211
+                ],
+                "values": [
+                  1.75423,
+                  1.63677,
+                  1.55847,
+                  1.63677,
+                  1.75423
+                ]
+              },
+              {
+                "index_1": [
+                  1.0341
+                ],
+                "index_2": [
+                  0.173419
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.0886351,
+                  0.0985949,
+                  0.1197753,
+                  0.1601305,
+                  0.2098995
+                ],
+                "values": [
+                  1.73652,
+                  1.60843,
+                  1.52304,
+                  1.60843,
+                  1.73652
+                ]
+              },
+              {
+                "index_1": [
+                  1.15748
+                ],
+                "index_2": [
+                  0.110202
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.0572715,
+                  0.0650354,
+                  0.0793484,
+                  0.1208025,
+                  0.1635689
+                ],
+                "values": [
+                  1.727,
+                  1.59321,
+                  1.50401,
+                  1.59321,
+                  1.727
+                ]
+              },
+              {
+                "index_1": [
+                  1.0341
+                ],
+                "index_2": [
+                  0.520257
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.2562806,
+                  0.2854878,
+                  0.3346242,
+                  0.4149474,
+                  0.480393
+                ],
+                "values": [
+                  1.33471,
+                  0.965532,
+                  0.719415,
+                  0.965532,
+                  1.33471
+                ]
+              }
+            ]
+          },
+          "propagated_noise_low": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.14513
+                ],
+                "index_2": [
+                  6.21174
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  2.9862993,
+                  3.0903713,
+                  3.1600303,
+                  3.2188985,
+                  3.2944443
+                ],
+                "values": [
+                  0.0350137,
+                  0.0560219,
+                  0.0700273,
+                  0.0560219,
+                  0.0350137
+                ]
+              },
+              {
+                "index_1": [
+                  1.23734
+                ],
+                "index_2": [
+                  3.5504
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  1.7511945,
+                  1.801821,
+                  1.8871152,
+                  1.9481577,
+                  1.9938717
+                ],
+                "values": [
+                  0.163355,
+                  0.261369,
+                  0.326711,
+                  0.261369,
+                  0.163355
+                ]
+              },
+              {
+                "index_1": [
+                  1.32332
+                ],
+                "index_2": [
+                  2.35017
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  1.1612198,
+                  1.2162366,
+                  1.3024533,
+                  1.370535,
+                  1.4158454
+                ],
+                "values": [
+                  0.30666,
+                  0.490656,
+                  0.61332,
+                  0.490656,
+                  0.30666
+                ]
+              },
+              {
+                "index_1": [
+                  1.14513
+                ],
+                "index_2": [
+                  3.10587
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  1.5051184,
+                  1.553433,
+                  1.5924591,
+                  1.6353941,
+                  1.6828312
+                ],
+                "values": [
+                  0.029834,
+                  0.0477345,
+                  0.0596681,
+                  0.0477345,
+                  0.029834
+                ]
+              },
+              {
+                "index_1": [
+                  1.23734
+                ],
+                "index_2": [
+                  1.7752
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.8798876,
+                  0.9087459,
+                  0.9487348,
+                  0.9897658,
+                  1.017979
+                ],
+                "values": [
+                  0.0981562,
+                  0.15705,
+                  0.196312,
+                  0.15705,
+                  0.0981562
+                ]
+              },
+              {
+                "index_1": [
+                  1.32332
+                ],
+                "index_2": [
+                  1.17509
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.5895434,
+                  0.6182992,
+                  0.6642361,
+                  0.6985734,
+                  0.7225134
+                ],
+                "values": [
+                  0.162854,
+                  0.260566,
+                  0.325707,
+                  0.260566,
+                  0.162854
+                ]
+              },
+              {
+                "index_1": [
+                  1.23734
+                ],
+                "index_2": [
+                  5.32559
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  2.6223494,
+                  2.6926731,
+                  2.8082337,
+                  2.8949304,
+                  2.9592239
+                ],
+                "values": [
+                  0.213551,
+                  0.341681,
+                  0.427101,
+                  0.341681,
+                  0.213551
+                ]
+              }
+            ]
+          },
+          "stage_type": "both"
+        },
+        "ccsn_last_stage": {
+          "dc_current ccsn_dc": {
+            "index_1": [
+              -1.95,
+              -0.975,
+              -0.39,
+              -0.195,
+              0,
+              0.0975,
+              0.195,
+              0.2925,
+              0.39,
+              0.4875,
+              0.585,
+              0.6825,
+              0.78,
+              0.8775,
+              0.975,
+              1.0725,
+              1.17,
+              1.2675,
+              1.365,
+              1.4625,
+              1.56,
+              1.6575,
+              1.755,
+              1.8525,
+              1.95,
+              2.145,
+              2.34,
+              2.925,
+              3.9
+            ],
+            "index_2": [
+              -1.95,
+              -0.975,
+              -0.39,
+              -0.195,
+              0,
+              0.0975,
+              0.195,
+              0.2925,
+              0.39,
+              0.4875,
+              0.585,
+              0.6825,
+              0.78,
+              0.8775,
+              0.975,
+              1.0725,
+              1.17,
+              1.2675,
+              1.365,
+              1.4625,
+              1.56,
+              1.6575,
+              1.755,
+              1.8525,
+              1.95,
+              2.145,
+              2.34,
+              2.925,
+              3.9
+            ],
+            "values": [
+              [
+                7.67932,
+                0.876201,
+                0.639846,
+                0.616236,
+                0.587251,
+                0.570544,
+                0.552401,
+                0.532848,
+                0.511902,
+                0.489572,
+                0.465871,
+                0.440809,
+                0.414401,
+                0.386663,
+                0.357612,
+                0.327269,
+                0.295654,
+                0.26279,
+                0.228702,
+                0.193416,
+                0.156959,
+                0.11936,
+                0.0806464,
+                0.0408497,
+                -1.06206e-08,
+                -0.0801708,
+                -0.156153,
+                -0.42187,
+                -4.0917
+              ],
+              [
+                7.48511,
+                0.702346,
+                0.487858,
+                0.476099,
+                0.46307,
+                0.455885,
+                0.448091,
+                0.439501,
+                0.429845,
+                0.41875,
+                0.405783,
+                0.390649,
+                0.373295,
+                0.353757,
+                0.332058,
+                0.308205,
+                0.282209,
+                0.254084,
+                0.223849,
+                0.19153,
+                0.15716,
+                0.120777,
+                0.0824228,
+                0.0421464,
+                -1.95288e-09,
+                -0.0838169,
+                -0.163797,
+                -0.440862,
+                -4.09786
+              ],
+              [
+                7.36443,
+                0.569494,
+                0.353146,
+                0.345042,
+                0.336441,
+                0.331895,
+                0.327143,
+                0.322137,
+                0.316815,
+                0.311087,
+                0.304826,
+                0.297834,
+                0.289808,
+                0.280272,
+                0.268619,
+                0.254381,
+                0.237391,
+                0.217603,
+                0.194989,
+                0.169535,
+                0.141236,
+                0.110103,
+                0.0761601,
+                0.0394427,
+                -1.95255e-09,
+                -0.0804852,
+                -0.159062,
+                -0.436054,
+                -4.09704
+              ],
+              [
+                7.32923,
+                0.552579,
+                0.302891,
+                0.295782,
+                0.288317,
+                0.284413,
+                0.280366,
+                0.276148,
+                0.271719,
+                0.267026,
+                0.261996,
+                0.25652,
+                0.250434,
+                0.243471,
+                0.2352,
+                0.22498,
+                0.212176,
+                0.196465,
+                0.177729,
+                0.15591,
+                0.130975,
+                0.102907,
+                0.0717066,
+                0.0373929,
+                -1.95244e-09,
+                -0.0775241,
+                -0.154375,
+                -0.430022,
+                -4.09579
+              ],
+              [
+                7.29164,
+                0.549761,
+                0.251052,
+                0.244853,
+                0.238425,
+                0.235094,
+                0.231669,
+                0.22813,
+                0.224454,
+                0.22061,
+                0.216556,
+                0.212233,
+                0.207554,
+                0.202385,
+                0.196509,
+                0.189554,
+                0.180898,
+                0.169759,
+                0.155597,
+                0.138186,
+                0.117422,
+                0.0932459,
+                0.0656221,
+                0.0345375,
+                -1.96576e-09,
+                -0.0732453,
+                -0.147474,
+                -0.420905,
+                -4.09386
+              ],
+              [
+                7.27241,
+                0.548276,
+                0.225059,
+                0.219085,
+                0.213147,
+                0.210084,
+                0.206945,
+                0.203715,
+                0.200377,
+                0.196907,
+                0.193274,
+                0.189434,
+                0.185325,
+                0.180852,
+                0.175868,
+                0.170121,
+                0.163161,
+                0.154247,
+                0.14256,
+                0.12763,
+                0.109266,
+                0.0873696,
+                0.061879,
+                0.0327596,
+                -2.26552e-09,
+                -0.070526,
+                -0.143049,
+                -0.415004,
+                -4.09257
+              ],
+              [
+                7.25315,
+                0.546886,
+                0.200797,
+                0.193338,
+                0.187872,
+                0.185067,
+                0.182201,
+                0.179265,
+                0.176245,
+                0.173123,
+                0.169876,
+                0.166472,
+                0.162867,
+                0.158996,
+                0.154758,
+                0.149988,
+                0.144391,
+                0.137419,
+                0.12819,
+                0.115891,
+                0.100129,
+                0.0807387,
+                0.057624,
+                0.0307229,
+                -9.21907e-09,
+                -0.0673726,
+                -0.137892,
+                -0.408108,
+                -4.09106
+              ],
+              [
+                7.23406,
+                0.546031,
+                0.183183,
+                0.167842,
+                0.162807,
+                0.16025,
+                0.157648,
+                0.154992,
+                0.152273,
+                0.149477,
+                0.146588,
+                0.143582,
+                0.14043,
+                0.137086,
+                0.133482,
+                0.129513,
+                0.124994,
+                0.119576,
+                0.112572,
+                0.10296,
+                0.0899803,
+                0.0733197,
+                0.0528284,
+                0.0284101,
+                -1.7003e-07,
+                -0.0637508,
+                -0.131942,
+                -0.400146,
+                -4.08927
+              ],
+              [
+                7.21537,
+                0.545945,
+                0.176397,
+                0.14322,
+                0.138192,
+                0.135878,
+                0.13353,
+                0.131144,
+                0.128712,
+                0.126224,
+                0.12367,
+                0.121032,
+                0.11829,
+                0.115413,
+                0.112358,
+                0.109057,
+                0.105397,
+                0.101174,
+                0.0959659,
+                0.0888904,
+                0.0788127,
+                0.0650898,
+                0.0474674,
+                0.0258031,
+                -3.82634e-06,
+                -0.0596318,
+                -0.125146,
+                -0.391056,
+                -4.08721
+              ],
+              [
+                7.19734,
+                0.54692,
+                0.177706,
+                0.121993,
+                0.11431,
+                0.112228,
+                0.110126,
+                0.107999,
+                0.10584,
+                0.103644,
+                0.101402,
+                0.099104,
+                0.0967352,
+                0.0942763,
+                0.0916992,
+                0.0889623,
+                0.0859989,
+                0.0826936,
+                0.0788183,
+                0.0738379,
+                0.066613,
+                0.055991,
+                0.0414678,
+                0.0228254,
+                -8.07813e-05,
+                -0.0550653,
+                -0.117536,
+                -0.380901,
+                -4.08503
+              ],
+              [
+                7.18027,
+                0.549276,
+                0.183236,
+                0.109703,
+                0.0914892,
+                0.0895287,
+                0.0876205,
+                0.0856977,
+                0.0837556,
+                0.08179,
+                0.0797957,
+                0.0777658,
+                0.0756916,
+                0.0735608,
+                0.0713566,
+                0.0690542,
+                0.0666156,
+                0.0639785,
+                0.0610271,
+                0.0575038,
+                0.0526906,
+                0.0451656,
+                0.0338886,
+                0.0184741,
+                -0.0012829,
+                -0.0511903,
+                -0.110341,
+                -0.371273,
+                -4.08512
+              ],
+              [
+                7.16449,
+                0.55336,
+                0.191368,
+                0.107643,
+                0.0701076,
+                0.0672637,
+                0.06517,
+                0.0630928,
+                0.0610088,
+                0.0589126,
+                0.0568003,
+                0.0546679,
+                0.0525103,
+                0.0503207,
+                0.0480899,
+                0.0458043,
+                0.0434439,
+                0.0409768,
+                0.0383479,
+                0.0354459,
+                0.0319687,
+                0.0269111,
+                0.0186438,
+                0.00633225,
+                -0.0103369,
+                -0.0553342,
+                -0.111489,
+                -0.372076,
+                -4.10138
+              ],
+              [
+                7.15037,
+                0.559558,
+                0.201694,
+                0.111391,
+                0.0506001,
+                0.0423317,
+                0.0388847,
+                0.0358422,
+                0.0328796,
+                0.0299454,
+                0.0270227,
+                0.0241035,
+                0.0211824,
+                0.0182544,
+                0.0153142,
+                0.0123548,
+                0.00936644,
+                0.00633422,
+                0.00323392,
+                2.10191e-05,
+                -0.00340703,
+                -0.00742552,
+                -0.0134336,
+                -0.0230888,
+                -0.0370101,
+                -0.0777746,
+                -0.131753,
+                -0.395752,
+                -4.14963
+              ],
+              [
+                7.13835,
+                0.568298,
+                0.214273,
+                0.118038,
+                0.0334668,
+                0.0128863,
+                0.00550747,
+                0.000839129,
+                -0.00331723,
+                -0.00728694,
+                -0.0111627,
+                -0.0149821,
+                -0.018764,
+                -0.0225196,
+                -0.0262564,
+                -0.0299805,
+                -0.0336981,
+                -0.0374165,
+                -0.0411459,
+                -0.0449025,
+                -0.0487182,
+                -0.0526818,
+                -0.0572892,
+                -0.06437,
+                -0.0753911,
+                -0.111497,
+                -0.162988,
+                -0.430892,
+                -4.21164
+              ],
+              [
+                7.12888,
+                0.580069,
+                0.229396,
+                0.126606,
+                0.0192952,
+                -0.0157997,
+                -0.0306447,
+                -0.0376104,
+                -0.0429772,
+                -0.0478171,
+                -0.052406,
+                -0.056849,
+                -0.0611955,
+                -0.0654725,
+                -0.0696962,
+                -0.0738773,
+                -0.0780233,
+                -0.0821403,
+                -0.0862344,
+                -0.0903123,
+                -0.0943841,
+                -0.0984688,
+                -0.102639,
+                -0.107579,
+                -0.115422,
+                -0.145734,
+                -0.193653,
+                -0.463786,
+                -4.268
+              ],
+              [
+                7.12248,
+                0.595447,
+                0.247532,
+                0.137056,
+                0.00880236,
+                -0.0398668,
+                -0.0645305,
+                -0.074744,
+                -0.0814255,
+                -0.0870352,
+                -0.092164,
+                -0.0970241,
+                -0.101712,
+                -0.10628,
+                -0.110757,
+                -0.115163,
+                -0.119511,
+                -0.123811,
+                -0.128069,
+                -0.132292,
+                -0.136487,
+                -0.140662,
+                -0.144828,
+                -0.149056,
+                -0.15438,
+                -0.178059,
+                -0.221461,
+                -0.492678,
+                -4.31667
+              ],
+              [
+                7.11978,
+                0.61512,
+                0.269251,
+                0.149817,
+                0.00269878,
+                -0.0580194,
+                -0.0934375,
+                -0.108127,
+                -0.116392,
+                -0.122811,
+                -0.128451,
+                -0.133676,
+                -0.138646,
+                -0.143445,
+                -0.148122,
+                -0.152707,
+                -0.157221,
+                -0.161678,
+                -0.166091,
+                -0.170467,
+                -0.174815,
+                -0.179143,
+                -0.183459,
+                -0.187776,
+                -0.192304,
+                -0.209361,
+                -0.247463,
+                -0.519184,
+                -4.36028
+              ],
+              [
+                7.12144,
+                0.639492,
+                0.294366,
+                0.164628,
+                0.00052844,
+                -0.0708722,
+                -0.11713,
+                -0.137771,
+                -0.148139,
+                -0.155603,
+                -0.16191,
+                -0.167625,
+                -0.172989,
+                -0.178125,
+                -0.183102,
+                -0.187962,
+                -0.192735,
+                -0.197441,
+                -0.202093,
+                -0.206703,
+                -0.211281,
+                -0.215836,
+                -0.220377,
+                -0.224914,
+                -0.229493,
+                -0.241695,
+                -0.2732,
+                -0.54464,
+                -4.40083
+              ],
+              [
+                7.12738,
+                0.667238,
+                0.320729,
+                0.17949,
+                9.18172e-05,
+                -0.0807956,
+                -0.137416,
+                -0.165401,
+                -0.178502,
+                -0.187268,
+                -0.194387,
+                -0.200691,
+                -0.206523,
+                -0.212052,
+                -0.217372,
+                -0.222542,
+                -0.227598,
+                -0.232566,
+                -0.237467,
+                -0.242313,
+                -0.247117,
+                -0.25189,
+                -0.256642,
+                -0.261386,
+                -0.266139,
+                -0.276371,
+                -0.300026,
+                -0.569843,
+                -4.43948
+              ],
+              [
+                7.13565,
+                0.696136,
+                0.346377,
+                0.193004,
+                1.68844e-05,
+                -0.0892185,
+                -0.155357,
+                -0.191709,
+                -0.208225,
+                -0.218515,
+                -0.226536,
+                -0.233471,
+                -0.239789,
+                -0.245717,
+                -0.251379,
+                -0.256848,
+                -0.262175,
+                -0.267392,
+                -0.272522,
+                -0.277584,
+                -0.282592,
+                -0.287559,
+                -0.292498,
+                -0.297422,
+                -0.302345,
+                -0.312363,
+                -0.329009,
+                -0.595259,
+                -4.47686
+              ],
+              [
+                7.14448,
+                0.725279,
+                0.370616,
+                0.20498,
+                3.09334e-06,
+                -0.0965517,
+                -0.171259,
+                -0.216566,
+                -0.237301,
+                -0.249375,
+                -0.258395,
+                -0.266001,
+                -0.272822,
+                -0.279152,
+                -0.285152,
+                -0.290915,
+                -0.296501,
+                -0.301953,
+                -0.307299,
+                -0.312561,
+                -0.317756,
+                -0.3229,
+                -0.328006,
+                -0.333091,
+                -0.338169,
+                -0.348393,
+                -0.36087,
+                -0.621171,
+                -4.51336
+              ],
+              [
+                7.15338,
+                0.754466,
+                0.393147,
+                0.215533,
+                4.92373e-07,
+                -0.102967,
+                -0.185317,
+                -0.239704,
+                -0.265582,
+                -0.279769,
+                -0.289909,
+                -0.29824,
+                -0.305587,
+                -0.312331,
+                -0.31867,
+                -0.324724,
+                -0.330565,
+                -0.336245,
+                -0.341797,
+                -0.347249,
+                -0.35262,
+                -0.357929,
+                -0.363191,
+                -0.368424,
+                -0.373643,
+                -0.38412,
+                -0.395223,
+                -0.647759,
+                -4.54923
+              ],
+              [
+                7.16227,
+                0.783628,
+                0.413761,
+                0.224817,
+                5.23722e-08,
+                -0.108584,
+                -0.19772,
+                -0.2609,
+                -0.292894,
+                -0.309611,
+                -0.321023,
+                -0.330144,
+                -0.338051,
+                -0.345224,
+                -0.351912,
+                -0.358259,
+                -0.364355,
+                -0.370259,
+                -0.376013,
+                -0.381649,
+                -0.38719,
+                -0.392656,
+                -0.398066,
+                -0.403438,
+                -0.408789,
+                -0.419513,
+                -0.430499,
+                -0.675142,
+                -4.58465
+              ],
+              [
+                7.17111,
+                0.812719,
+                0.432324,
+                0.232976,
+                6.49319e-09,
+                -0.113502,
+                -0.208649,
+                -0.280024,
+                -0.319044,
+                -0.338807,
+                -0.351682,
+                -0.361677,
+                -0.370185,
+                -0.37781,
+                -0.384859,
+                -0.391506,
+                -0.397858,
+                -0.403988,
+                -0.409943,
+                -0.415761,
+                -0.421468,
+                -0.427087,
+                -0.43264,
+                -0.438144,
+                -0.443622,
+                -0.45458,
+                -0.465723,
+                -0.703395,
+                -4.61974
+              ],
+              [
+                7.17991,
+                0.841696,
+                0.448794,
+                0.240136,
+                4.82653e-09,
+                -0.117805,
+                -0.218272,
+                -0.297069,
+                -0.343821,
+                -0.36726,
+                -0.381834,
+                -0.392804,
+                -0.401965,
+                -0.410071,
+                -0.417497,
+                -0.424453,
+                -0.431069,
+                -0.437427,
+                -0.443584,
+                -0.449583,
+                -0.455455,
+                -0.461226,
+                -0.466918,
+                -0.472553,
+                -0.478152,
+                -0.489335,
+                -0.500674,
+                -0.732565,
+                -4.6546
+              ],
+              [
+                7.19739,
+                0.89901,
+                0.475773,
+                0.2519,
+                7.68233e-09,
+                -0.124849,
+                -0.234179,
+                -0.325375,
+                -0.388381,
+                -0.421472,
+                -0.440393,
+                -0.453713,
+                -0.464376,
+                -0.473553,
+                -0.4818,
+                -0.489419,
+                -0.496587,
+                -0.503419,
+                -0.509992,
+                -0.516361,
+                -0.522565,
+                -0.528639,
+                -0.534608,
+                -0.540499,
+                -0.546336,
+                -0.557951,
+                -0.56968,
+                -0.79372,
+                -4.72392
+              ],
+              [
+                7.21468,
+                0.955368,
+                0.495946,
+                0.260854,
+                1.25095e-08,
+                -0.130194,
+                -0.246431,
+                -0.347154,
+                -0.425141,
+                -0.471126,
+                -0.496179,
+                -0.51259,
+                -0.52511,
+                -0.535554,
+                -0.54474,
+                -0.553097,
+                -0.560869,
+                -0.568211,
+                -0.575224,
+                -0.58198,
+                -0.58853,
+                -0.594914,
+                -0.601166,
+                -0.607315,
+                -0.613389,
+                -0.625427,
+                -0.637528,
+                -0.858359,
+                -4.79299
+              ],
+              [
+                7.26519,
+                1.11236,
+                0.529533,
+                0.275979,
+                4.31658e-08,
+                -0.139259,
+                -0.26808,
+                -0.386052,
+                -0.491687,
+                -0.579232,
+                -0.638362,
+                -0.67284,
+                -0.694975,
+                -0.711286,
+                -0.724481,
+                -0.7358,
+                -0.745889,
+                -0.755116,
+                -0.76371,
+                -0.771823,
+                -0.779559,
+                -0.786994,
+                -0.794185,
+                -0.801179,
+                -0.808018,
+                -0.82138,
+                -0.834582,
+                -1.05682,
+                -4.99781
+              ],
+              [
+                7.3393,
+                1.26641,
+                0.540408,
+                0.279681,
+                1.70245e-07,
+                -0.141892,
+                -0.276838,
+                -0.404727,
+                -0.525387,
+                -0.638425,
+                -0.74263,
+                -0.834146,
+                -0.904919,
+                -0.952041,
+                -0.983262,
+                -1.00589,
+                -1.02374,
+                -1.03868,
+                -1.0517,
+                -1.06339,
+                -1.07409,
+                -1.08405,
+                -1.09343,
+                -1.10235,
+                -1.11088,
+                -1.12709,
+                -1.14252,
+                -1.36861,
+                -5.31383
+              ]
+            ]
+          },
+          "is_inverting": "true",
+          "is_needed": "true",
+          "miller_cap_fall": 0.000739184,
+          "miller_cap_rise": 0.000578439,
+          "output_voltage_fall": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.00735618
+                ],
+                "index_3": [
+                  0.0144857,
+                  0.021846,
+                  0.0296546,
+                  0.0380638,
+                  0.0488692
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              },
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.0220685
+                ],
+                "index_3": [
+                  0.0206742,
+                  0.0406903,
+                  0.0618279,
+                  0.084553,
+                  0.1136395
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.00735618
+                ],
+                "index_3": [
+                  0.0616108,
+                  0.0766727,
+                  0.0879621,
+                  0.0979176,
+                  0.108843
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.0220685
+                ],
+                "index_3": [
+                  0.0748644,
+                  0.1005019,
+                  0.1216158,
+                  0.1445416,
+                  0.1745483
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              }
+            ]
+          },
+          "output_voltage_rise": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.00366807
+                ],
+                "index_3": [
+                  0.0179593,
+                  0.0263871,
+                  0.0355364,
+                  0.0464587,
+                  0.0654984
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              },
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.0110042
+                ],
+                "index_3": [
+                  0.0247705,
+                  0.0459922,
+                  0.0691766,
+                  0.0965374,
+                  0.1416547
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.00366807
+                ],
+                "index_3": [
+                  0.0751528,
+                  0.0904477,
+                  0.1014917,
+                  0.1123985,
+                  0.1313127
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.0110042
+                ],
+                "index_3": [
+                  0.0871259,
+                  0.1113172,
+                  0.1343853,
+                  0.1616753,
+                  0.2067961
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              }
+            ]
+          },
+          "propagated_noise_high": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  0.926795
+                ],
+                "index_2": [
+                  0.80078
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.3909553,
+                  0.4174164,
+                  0.4589839,
+                  0.5138495,
+                  0.5525268
+                ],
+                "values": [
+                  1.62602,
+                  1.43163,
+                  1.30204,
+                  1.43163,
+                  1.62602
+                ]
+              },
+              {
+                "index_1": [
+                  1.04513
+                ],
+                "index_2": [
+                  0.427825
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.2106081,
+                  0.2311343,
+                  0.2649688,
+                  0.3088477,
+                  0.3408965
+                ],
+                "values": [
+                  1.53266,
+                  1.28226,
+                  1.11533,
+                  1.28226,
+                  1.53266
+                ]
+              },
+              {
+                "index_1": [
+                  1.16732
+                ],
+                "index_2": [
+                  0.270805
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.1348573,
+                  0.1513377,
+                  0.1834436,
+                  0.2153154,
+                  0.2418433
+                ],
+                "values": [
+                  1.48347,
+                  1.20355,
+                  1.01694,
+                  1.20355,
+                  1.48347
+                ]
+              },
+              {
+                "index_1": [
+                  0.926795
+                ],
+                "index_2": [
+                  0.40039
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.1983918,
+                  0.2125447,
+                  0.2439203,
+                  0.2689111,
+                  0.2958029
+                ],
+                "values": [
+                  1.76427,
+                  1.65283,
+                  1.57853,
+                  1.65283,
+                  1.76427
+                ]
+              },
+              {
+                "index_1": [
+                  1.16732
+                ],
+                "index_2": [
+                  0.135402
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.0702377,
+                  0.0787597,
+                  0.091361,
+                  0.1146539,
+                  0.1347185
+                ],
+                "values": [
+                  1.70394,
+                  1.55631,
+                  1.45789,
+                  1.55631,
+                  1.70394
+                ]
+              },
+              {
+                "index_1": [
+                  1.04513
+                ],
+                "index_2": [
+                  0.427825
+                ],
+                "index_3": [
+                  0.0220685
+                ],
+                "index_4": [
+                  0.2123767,
+                  0.2349324,
+                  0.2769634,
+                  0.3267411,
+                  0.3795024
+                ],
+                "values": [
+                  1.76757,
+                  1.65812,
+                  1.58515,
+                  1.65812,
+                  1.76757
+                ]
+              },
+              {
+                "index_1": [
+                  1.16732
+                ],
+                "index_2": [
+                  0.270805
+                ],
+                "index_3": [
+                  0.0220685
+                ],
+                "index_4": [
+                  0.1363905,
+                  0.1546725,
+                  0.1919711,
+                  0.2308602,
+                  0.2748328
+                ],
+                "values": [
+                  1.75015,
+                  1.63024,
+                  1.5503,
+                  1.63024,
+                  1.75015
+                ]
+              }
+            ]
+          },
+          "propagated_noise_low": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.15341
+                ],
+                "index_2": [
+                  0.96143
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.4702677,
+                  0.4897436,
+                  0.5124296,
+                  0.5446385,
+                  0.5640324
+                ],
+                "values": [
+                  0.174849,
+                  0.279759,
+                  0.349699,
+                  0.279759,
+                  0.174849
+                ]
+              },
+              {
+                "index_1": [
+                  1.27397
+                ],
+                "index_2": [
+                  0.560477
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.2762441,
+                  0.293478,
+                  0.321592,
+                  0.3473403,
+                  0.36446
+                ],
+                "values": [
+                  0.336067,
+                  0.537708,
+                  0.672135,
+                  0.537708,
+                  0.336067
+                ]
+              },
+              {
+                "index_1": [
+                  1.38158
+                ],
+                "index_2": [
+                  0.382163
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.1896988,
+                  0.2057282,
+                  0.227276,
+                  0.2535829,
+                  0.268695
+                ],
+                "values": [
+                  0.426148,
+                  0.681837,
+                  0.852296,
+                  0.681837,
+                  0.426148
+                ]
+              },
+              {
+                "index_1": [
+                  1.15341
+                ],
+                "index_2": [
+                  0.480715
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.2366596,
+                  0.2475874,
+                  0.2618871,
+                  0.2789562,
+                  0.2909946
+                ],
+                "values": [
+                  0.111232,
+                  0.177971,
+                  0.222464,
+                  0.177971,
+                  0.111232
+                ]
+              },
+              {
+                "index_1": [
+                  1.38158
+                ],
+                "index_2": [
+                  0.191081
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.0976949,
+                  0.1059943,
+                  0.1190984,
+                  0.1314656,
+                  0.1398361
+                ],
+                "values": [
+                  0.235338,
+                  0.376541,
+                  0.470677,
+                  0.376541,
+                  0.235338
+                ]
+              },
+              {
+                "index_1": [
+                  1.27397
+                ],
+                "index_2": [
+                  0.560477
+                ],
+                "index_3": [
+                  0.0110042
+                ],
+                "index_4": [
+                  0.2760895,
+                  0.296862,
+                  0.3281016,
+                  0.3588566,
+                  0.3787782
+                ],
+                "values": [
+                  0.164087,
+                  0.26254,
+                  0.328175,
+                  0.26254,
+                  0.164087
+                ]
+              },
+              {
+                "index_1": [
+                  1.38158
+                ],
+                "index_2": [
+                  0.382163
+                ],
+                "index_3": [
+                  0.0110042
+                ],
+                "index_4": [
+                  0.1907028,
+                  0.208358,
+                  0.2393691,
+                  0.2627517,
+                  0.2796988
+                ],
+                "values": [
+                  0.196862,
+                  0.314979,
+                  0.393724,
+                  0.314979,
+                  0.196862
+                ]
+              }
+            ]
+          },
+          "stage_type": "both"
+        },
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00139084,
+            0.00386886,
+            0.0107619,
+            0.0299362,
+            0.0832729,
+            0.231638
+          ],
+          "values": [
+            [
+              0.1348908,
+              0.1410617,
+              0.1548128,
+              0.1830045,
+              0.2405298,
+              0.3673788,
+              0.6914796
+            ],
+            [
+              0.1377222,
+              0.1438613,
+              0.1574577,
+              0.1858309,
+              0.2432945,
+              0.3701687,
+              0.6946617
+            ],
+            [
+              0.1475968,
+              0.1537328,
+              0.1674462,
+              0.195875,
+              0.2530931,
+              0.3799734,
+              0.7040778
+            ],
+            [
+              0.1720485,
+              0.1782407,
+              0.191879,
+              0.2202268,
+              0.2777874,
+              0.4045894,
+              0.7289757
+            ],
+            [
+              0.2262641,
+              0.2325269,
+              0.2465085,
+              0.2752885,
+              0.3328367,
+              0.4598507,
+              0.7839437
+            ],
+            [
+              0.330682,
+              0.3376004,
+              0.3529781,
+              0.384263,
+              0.4458093,
+              0.5751295,
+              0.9007979
+            ],
+            [
+              0.5392771,
+              0.547058,
+              0.5647344,
+              0.6004335,
+              0.6685757,
+              0.802489,
+              1.1298243
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00139084,
+            0.00386886,
+            0.0107619,
+            0.0299362,
+            0.0832729,
+            0.231638
+          ],
+          "values": [
+            [
+              0.038882,
+              0.0435064,
+              0.0553357,
+              0.0869471,
+              0.1728135,
+              0.4104685,
+              1.0709559
+            ],
+            [
+              0.0424424,
+              0.047059,
+              0.0589036,
+              0.090363,
+              0.1764191,
+              0.4137955,
+              1.0740592
+            ],
+            [
+              0.0503039,
+              0.0548585,
+              0.0664824,
+              0.0977969,
+              0.1838202,
+              0.4211879,
+              1.0809011
+            ],
+            [
+              0.0584402,
+              0.0632461,
+              0.075029,
+              0.1063423,
+              0.1924426,
+              0.4302914,
+              1.0909136
+            ],
+            [
+              0.0579658,
+              0.0636985,
+              0.0761885,
+              0.1073746,
+              0.1933917,
+              0.4308422,
+              1.091636
+            ],
+            [
+              0.0274471,
+              0.0347347,
+              0.0499881,
+              0.0822587,
+              0.1675646,
+              0.4055244,
+              1.0648207
+            ],
+            [
+              -0.0842223,
+              -0.0751205,
+              -0.0554443,
+              -0.0172489,
+              0.068306,
+              0.3066307,
+              0.9662939
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00139084,
+            0.00386886,
+            0.0107619,
+            0.0299362,
+            0.0832729,
+            0.231638
+          ],
+          "values": [
+            [
+              0.0239596,
+              0.0284596,
+              0.0391402,
+              0.0621862,
+              0.1165544,
+              0.2578596,
+              0.6716633
+            ],
+            [
+              0.0239156,
+              0.0284179,
+              0.0389497,
+              0.062124,
+              0.1166132,
+              0.2577576,
+              0.6757278
+            ],
+            [
+              0.024055,
+              0.0284518,
+              0.0391433,
+              0.0622022,
+              0.1166107,
+              0.2578837,
+              0.6674056
+            ],
+            [
+              0.0240263,
+              0.0284082,
+              0.0392457,
+              0.0621182,
+              0.1164277,
+              0.2576774,
+              0.6746589
+            ],
+            [
+              0.0258485,
+              0.0302937,
+              0.0406517,
+              0.063953,
+              0.1177685,
+              0.2577642,
+              0.6680588
+            ],
+            [
+              0.0318438,
+              0.0369127,
+              0.0477362,
+              0.0710636,
+              0.1248408,
+              0.2626911,
+              0.6718401
+            ],
+            [
+              0.0415901,
+              0.0463889,
+              0.058812,
+              0.0844304,
+              0.1374064,
+              0.2714657,
+              0.6741797
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00139084,
+            0.00386886,
+            0.0107619,
+            0.0299362,
+            0.0832729,
+            0.231638
+          ],
+          "values": [
+            [
+              0.0130054,
+              0.0182872,
+              0.0335398,
+              0.077424,
+              0.2003562,
+              0.542435,
+              1.4920744
+            ],
+            [
+              0.0129739,
+              0.0182494,
+              0.0335262,
+              0.0774782,
+              0.2003875,
+              0.542502,
+              1.4946596
+            ],
+            [
+              0.0132955,
+              0.0185358,
+              0.033645,
+              0.0772617,
+              0.2001396,
+              0.541906,
+              1.4930149
+            ],
+            [
+              0.0153135,
+              0.0200702,
+              0.034364,
+              0.0773751,
+              0.2002934,
+              0.5422184,
+              1.4932769
+            ],
+            [
+              0.0191416,
+              0.0239808,
+              0.0367215,
+              0.0779964,
+              0.1999455,
+              0.5412054,
+              1.4937425
+            ],
+            [
+              0.0248256,
+              0.0305815,
+              0.0433456,
+              0.0805863,
+              0.2012769,
+              0.5411241,
+              1.4895256
+            ],
+            [
+              0.0331252,
+              0.0404109,
+              0.0560613,
+              0.0908937,
+              0.2025073,
+              0.5443364,
+              1.4974396
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "ccsn_first_stage": {
+          "dc_current ccsn_dc": {
+            "index_1": [
+              -1.95,
+              -0.975,
+              -0.39,
+              -0.195,
+              0,
+              0.0975,
+              0.195,
+              0.2925,
+              0.39,
+              0.4875,
+              0.585,
+              0.6825,
+              0.78,
+              0.8775,
+              0.975,
+              1.0725,
+              1.17,
+              1.2675,
+              1.365,
+              1.4625,
+              1.56,
+              1.6575,
+              1.755,
+              1.8525,
+              1.95,
+              2.145,
+              2.34,
+              2.925,
+              3.9
+            ],
+            "index_2": [
+              -1.95,
+              -0.975,
+              -0.39,
+              -0.195,
+              0,
+              0.0975,
+              0.195,
+              0.2925,
+              0.39,
+              0.4875,
+              0.585,
+              0.6825,
+              0.78,
+              0.8775,
+              0.975,
+              1.0725,
+              1.17,
+              1.2675,
+              1.365,
+              1.4625,
+              1.56,
+              1.6575,
+              1.755,
+              1.8525,
+              1.95,
+              2.145,
+              2.34,
+              2.925,
+              3.9
+            ],
+            "values": [
+              [
+                9.44459,
+                0.576275,
+                0.0801524,
+                0.079131,
+                0.0779781,
+                0.0773399,
+                0.076659,
+                0.0759315,
+                0.0751506,
+                0.0743049,
+                0.0733766,
+                0.0723355,
+                0.0711277,
+                0.0696429,
+                0.0676435,
+                0.0648044,
+                0.0609663,
+                0.0561349,
+                0.050368,
+                0.043736,
+                0.0363116,
+                0.0281641,
+                0.019358,
+                0.00995238,
+                -2.10674e-08,
+                -0.0208691,
+                -0.0424806,
+                -0.154169,
+                -1.78882
+              ],
+              [
+                9.44083,
+                0.571214,
+                0.075385,
+                0.0751313,
+                0.0748681,
+                0.0746922,
+                0.0744522,
+                0.0741079,
+                0.0736378,
+                0.0730349,
+                0.07229,
+                0.0713797,
+                0.0702501,
+                0.0687799,
+                0.0667416,
+                0.0639006,
+                0.0601506,
+                0.0554761,
+                0.0498985,
+                0.0434563,
+                0.0361962,
+                0.0281693,
+                0.0194275,
+                0.0100216,
+                -2.45427e-08,
+                -0.0211861,
+                -0.0433074,
+                -0.15589,
+                -1.78917
+              ],
+              [
+                9.44599,
+                0.576597,
+                0.069699,
+                0.0693531,
+                0.0690151,
+                0.0688264,
+                0.0686202,
+                0.0683909,
+                0.0681302,
+                0.0678235,
+                0.0674427,
+                0.0669236,
+                0.0661243,
+                0.0648619,
+                0.0629934,
+                0.0604096,
+                0.0570305,
+                0.0528062,
+                0.0477153,
+                0.0417594,
+                0.0349571,
+                0.0273394,
+                0.0189451,
+                0.00981703,
+                -2.48868e-08,
+                -0.0209915,
+                -0.0431629,
+                -0.15635,
+                -1.78928
+              ],
+              [
+                9.45348,
+                0.611606,
+                0.0658612,
+                0.065333,
+                0.0648027,
+                0.064515,
+                0.0642086,
+                0.0638798,
+                0.0635231,
+                0.0631302,
+                0.0626878,
+                0.0621726,
+                0.0615372,
+                0.0606665,
+                0.0593234,
+                0.0572773,
+                0.0544114,
+                0.0506677,
+                0.0460191,
+                0.0404627,
+                0.034014,
+                0.0267023,
+                0.0185662,
+                0.0096499,
+                -2.45059e-08,
+                -0.0207834,
+                -0.0428855,
+                -0.156321,
+                -1.78929
+              ],
+              [
+                9.45937,
+                0.662672,
+                0.0596039,
+                0.0588752,
+                0.0581704,
+                0.0577989,
+                0.0574124,
+                0.0570082,
+                0.056583,
+                0.056132,
+                0.0556481,
+                0.0551209,
+                0.0545327,
+                0.0538515,
+                0.0530069,
+                0.0518116,
+                0.0499198,
+                0.0470886,
+                0.043246,
+                0.0383847,
+                0.0325261,
+                0.0257082,
+                0.0179789,
+                0.00939113,
+                -3.37205e-08,
+                -0.0204528,
+                -0.0424194,
+                -0.156152,
+                -1.78927
+              ],
+              [
+                9.46155,
+                0.688316,
+                0.0558608,
+                0.0547936,
+                0.0540274,
+                0.0536277,
+                0.0532149,
+                0.052787,
+                0.0523414,
+                0.0518744,
+                0.0513808,
+                0.0508533,
+                0.0502803,
+                0.0496424,
+                0.0489034,
+                0.0479783,
+                0.0466304,
+                0.0444563,
+                0.0412237,
+                0.0368878,
+                0.0314678,
+                0.0250091,
+                0.0175696,
+                0.00921196,
+                -4.95841e-08,
+                -0.0202246,
+                -0.0420924,
+                -0.156002,
+                -1.78925
+              ],
+              [
+                9.46329,
+                0.713494,
+                0.0536422,
+                0.0502348,
+                0.0494219,
+                0.0490022,
+                0.0485715,
+                0.0481281,
+                0.0476699,
+                0.0471943,
+                0.0466975,
+                0.0461741,
+                0.0456164,
+                0.0450121,
+                0.0443404,
+                0.0435606,
+                0.0425702,
+                0.0410691,
+                0.0385989,
+                0.0349494,
+                0.0301065,
+                0.0241173,
+                0.0170516,
+                0.00898669,
+                -9.65481e-08,
+                -0.0199413,
+                -0.0416854,
+                -0.155799,
+                -1.78922
+              ],
+              [
+                9.46468,
+                0.738327,
+                0.0580396,
+                0.0453128,
+                0.0444269,
+                0.0439949,
+                0.0435537,
+                0.0431021,
+                0.0426386,
+                0.042161,
+                0.0416667,
+                0.0411518,
+                0.0406111,
+                0.0400366,
+                0.0394155,
+                0.0387258,
+                0.0379224,
+                0.0368803,
+                0.035218,
+                0.0324296,
+                0.0283395,
+                0.0229668,
+                0.0163888,
+                0.00870081,
+                -2.7152e-07,
+                -0.0195894,
+                -0.0411812,
+                -0.155535,
+                -1.78919
+              ],
+              [
+                9.46577,
+                0.762948,
+                0.0723763,
+                0.0405897,
+                0.0391199,
+                0.0386822,
+                0.0382373,
+                0.0377841,
+                0.0373215,
+                0.0368479,
+                0.0363614,
+                0.0358593,
+                0.0353379,
+                0.034792,
+                0.0342137,
+                0.0335901,
+                0.0328984,
+                0.0320889,
+                0.0310062,
+                0.0291652,
+                0.026027,
+                0.0214615,
+                0.0155253,
+                0.0083287,
+                -5.88766e-06,
+                -0.0191558,
+                -0.0405634,
+                -0.155209,
+                -1.78915
+              ],
+              [
+                9.46662,
+                0.787451,
+                0.0931538,
+                0.0387848,
+                0.0335834,
+                0.0331382,
+                0.0326919,
+                0.0322393,
+                0.0317794,
+                0.0313112,
+                0.0308332,
+                0.0303436,
+                0.0298399,
+                0.0293187,
+                0.028775,
+                0.0282012,
+                0.0275848,
+                0.0269026,
+                0.0261001,
+                0.024979,
+                0.0229243,
+                0.0193876,
+                0.0142932,
+                0.00774078,
+                -0.000121743,
+                -0.0187316,
+                -0.0399319,
+                -0.154973,
+                -1.78935
+              ],
+              [
+                9.46729,
+                0.811898,
+                0.116506,
+                0.0452688,
+                0.0279023,
+                0.0273072,
+                0.026796,
+                0.0262812,
+                0.0257605,
+                0.025233,
+                0.0246976,
+                0.0241533,
+                0.0235983,
+                0.0230307,
+                0.0224472,
+                0.0218436,
+                0.0212127,
+                0.0205429,
+                0.0198115,
+                0.018959,
+                0.0177236,
+                0.0153351,
+                0.0112642,
+                0.00549818,
+                -0.00181225,
+                -0.0198902,
+                -0.0410063,
+                -0.15693,
+                -1.79256
+              ],
+              [
+                9.46785,
+                0.836344,
+                0.140749,
+                0.0599756,
+                0.022147,
+                0.0201234,
+                0.019083,
+                0.018075,
+                0.0170679,
+                0.0160564,
+                0.0150388,
+                0.014014,
+                0.0129812,
+                0.0119393,
+                0.0108865,
+                0.0098207,
+                0.00873815,
+                0.00763308,
+                0.0064953,
+                0.00530378,
+                0.00399371,
+                0.00221056,
+                -0.000992203,
+                -0.00609428,
+                -0.0130393,
+                -0.0312176,
+                -0.0530604,
+                -0.171912,
+                -1.8124
+              ],
+              [
+                9.46836,
+                0.860854,
+                0.165254,
+                0.077972,
+                0.0164329,
+                0.00773783,
+                0.00485617,
+                0.00252815,
+                0.00030995,
+                -0.00186765,
+                -0.00402593,
+                -0.00617377,
+                -0.0083157,
+                -0.0104545,
+                -0.0125921,
+                -0.0147304,
+                -0.0168718,
+                -0.0190193,
+                -0.0211776,
+                -0.0233554,
+                -0.0255711,
+                -0.0278948,
+                -0.0308155,
+                -0.035469,
+                -0.0422631,
+                -0.0613438,
+                -0.0849968,
+                -0.209235,
+                -1.85915
+              ],
+              [
+                9.46893,
+                0.885527,
+                0.189789,
+                0.0962314,
+                0.0110549,
+                -0.0109245,
+                -0.0184952,
+                -0.0227604,
+                -0.0264065,
+                -0.0298342,
+                -0.0331555,
+                -0.0364152,
+                -0.039635,
+                -0.0428269,
+                -0.0459982,
+                -0.0491537,
+                -0.0522971,
+                -0.0554315,
+                -0.0585604,
+                -0.0616881,
+                -0.0648217,
+                -0.0679765,
+                -0.0712294,
+                -0.0752759,
+                -0.0814315,
+                -0.100836,
+                -0.12619,
+                -0.255555,
+                -1.91481
+              ],
+              [
+                9.46967,
+                0.910525,
+                0.214332,
+                0.113543,
+                0.00635573,
+                -0.0302051,
+                -0.0461395,
+                -0.0530939,
+                -0.0581867,
+                -0.0626859,
+                -0.0669117,
+                -0.0709842,
+                -0.0749594,
+                -0.0788676,
+                -0.0827265,
+                -0.0865475,
+                -0.0903382,
+                -0.0941043,
+                -0.09785,
+                -0.101579,
+                -0.105297,
+                -0.109009,
+                -0.112728,
+                -0.116551,
+                -0.121514,
+                -0.139805,
+                -0.166176,
+                -0.29928,
+                -1.96525
+              ],
+              [
+                9.47077,
+                0.936132,
+                0.239055,
+                0.129672,
+                0.00275233,
+                -0.046914,
+                -0.0734413,
+                -0.0842268,
+                -0.0909184,
+                -0.0964053,
+                -0.101369,
+                -0.106049,
+                -0.110554,
+                -0.114939,
+                -0.119239,
+                -0.123475,
+                -0.12766,
+                -0.131804,
+                -0.135914,
+                -0.139998,
+                -0.14406,
+                -0.148107,
+                -0.152145,
+                -0.156188,
+                -0.160471,
+                -0.176049,
+                -0.202901,
+                -0.339067,
+                -2.00965
+              ],
+              [
+                9.47251,
+                0.962829,
+                0.264316,
+                0.144998,
+                0.000749722,
+                -0.0601934,
+                -0.0979046,
+                -0.114,
+                -0.122629,
+                -0.129155,
+                -0.134823,
+                -0.140043,
+                -0.144996,
+                -0.149774,
+                -0.154428,
+                -0.158991,
+                -0.163486,
+                -0.167928,
+                -0.172328,
+                -0.176695,
+                -0.181036,
+                -0.18536,
+                -0.189673,
+                -0.193985,
+                -0.198335,
+                -0.210251,
+                -0.236325,
+                -0.375957,
+                -2.0501
+              ],
+              [
+                9.47527,
+                0.990984,
+                0.28999,
+                0.159582,
+                0.000142009,
+                -0.0704932,
+                -0.118979,
+                -0.142006,
+                -0.153151,
+                -0.160917,
+                -0.167379,
+                -0.17319,
+                -0.178621,
+                -0.183807,
+                -0.188824,
+                -0.193718,
+                -0.198521,
+                -0.203253,
+                -0.20793,
+                -0.212563,
+                -0.217162,
+                -0.221737,
+                -0.226296,
+                -0.230849,
+                -0.235411,
+                -0.245332,
+                -0.266893,
+                -0.410661,
+                -2.08805
+              ],
+              [
+                9.47897,
+                1.01998,
+                0.314964,
+                0.172753,
+                2.60344e-05,
+                -0.0789532,
+                -0.137276,
+                -0.168585,
+                -0.182984,
+                -0.192228,
+                -0.199583,
+                -0.206028,
+                -0.211955,
+                -0.217553,
+                -0.222927,
+                -0.228139,
+                -0.233231,
+                -0.238231,
+                -0.243158,
+                -0.248028,
+                -0.252853,
+                -0.257645,
+                -0.262413,
+                -0.26717,
+                -0.271928,
+                -0.281619,
+                -0.297412,
+                -0.443351,
+                -2.12432
+              ],
+              [
+                9.48303,
+                1.04902,
+                0.338424,
+                0.184292,
+                4.81604e-06,
+                -0.0861518,
+                -0.153193,
+                -0.193588,
+                -0.212147,
+                -0.223158,
+                -0.231512,
+                -0.238634,
+                -0.245072,
+                -0.251083,
+                -0.256806,
+                -0.262324,
+                -0.267689,
+                -0.272937,
+                -0.278094,
+                -0.283178,
+                -0.288205,
+                -0.293188,
+                -0.298139,
+                -0.303072,
+                -0.308,
+                -0.317923,
+                -0.329933,
+                -0.473811,
+                -2.15929
+              ],
+              [
+                9.48714,
+                1.07785,
+                0.35999,
+                0.194337,
+                8.19411e-07,
+                -0.0923377,
+                -0.16701,
+                -0.216676,
+                -0.240459,
+                -0.253613,
+                -0.263101,
+                -0.270959,
+                -0.277934,
+                -0.284367,
+                -0.29044,
+                -0.296258,
+                -0.301888,
+                -0.307373,
+                -0.312746,
+                -0.31803,
+                -0.323244,
+                -0.328402,
+                -0.333519,
+                -0.33861,
+                -0.34369,
+                -0.353886,
+                -0.36464,
+                -0.502285,
+                -2.19316
+              ],
+              [
+                9.49126,
+                1.10639,
+                0.379444,
+                0.203076,
+                1.01308e-07,
+                -0.0976725,
+                -0.178997,
+                -0.237563,
+                -0.267699,
+                -0.283479,
+                -0.29428,
+                -0.302949,
+                -0.310497,
+                -0.317371,
+                -0.323801,
+                -0.329921,
+                -0.335812,
+                -0.34153,
+                -0.347113,
+                -0.352589,
+                -0.35798,
+                -0.363303,
+                -0.368575,
+                -0.373812,
+                -0.379032,
+                -0.389488,
+                -0.400175,
+                -0.529916,
+                -2.22614
+              ],
+              [
+                9.49535,
+                1.1346,
+                0.396684,
+                0.210679,
+                9.35188e-09,
+                -0.102282,
+                -0.189401,
+                -0.256116,
+                -0.293617,
+                -0.31264,
+                -0.324978,
+                -0.334556,
+                -0.342726,
+                -0.350066,
+                -0.356867,
+                -0.363296,
+                -0.369452,
+                -0.375402,
+                -0.381192,
+                -0.386856,
+                -0.392418,
+                -0.3979,
+                -0.403321,
+                -0.408697,
+                -0.414048,
+                -0.424749,
+                -0.435611,
+                -0.557497,
+                -2.25849
+              ],
+              [
+                9.49943,
+                1.16243,
+                0.411745,
+                0.217297,
+                3.74356e-09,
+                -0.106271,
+                -0.198441,
+                -0.272377,
+                -0.317942,
+                -0.340967,
+                -0.355131,
+                -0.36574,
+                -0.374591,
+                -0.38243,
+                -0.389622,
+                -0.39637,
+                -0.402796,
+                -0.408982,
+                -0.41498,
+                -0.420831,
+                -0.426563,
+                -0.432202,
+                -0.437766,
+                -0.443277,
+                -0.448754,
+                -0.459688,
+                -0.470754,
+                -0.585416,
+                -2.29037
+              ],
+              [
+                9.50349,
+                1.18984,
+                0.424773,
+                0.223056,
+                4.33506e-09,
+                -0.109725,
+                -0.206302,
+                -0.28652,
+                -0.340402,
+                -0.368314,
+                -0.384666,
+                -0.396458,
+                -0.406066,
+                -0.414444,
+                -0.422051,
+                -0.429133,
+                -0.43584,
+                -0.442266,
+                -0.448475,
+                -0.454515,
+                -0.460418,
+                -0.466211,
+                -0.471919,
+                -0.477562,
+                -0.483161,
+                -0.494319,
+                -0.505588,
+                -0.613888,
+                -2.32189
+              ],
+              [
+                9.51154,
+                1.24316,
+                0.445609,
+                0.232414,
+                7.02355e-09,
+                -0.115304,
+                -0.219092,
+                -0.309414,
+                -0.378894,
+                -0.419351,
+                -0.441557,
+                -0.456323,
+                -0.467734,
+                -0.477351,
+                -0.48588,
+                -0.493691,
+                -0.500998,
+                -0.507932,
+                -0.514582,
+                -0.521011,
+                -0.527262,
+                -0.53337,
+                -0.539365,
+                -0.545271,
+                -0.551114,
+                -0.562707,
+                -0.574362,
+                -0.672927,
+                -2.38411
+              ],
+              [
+                9.5195,
+                1.29407,
+                0.460958,
+                0.239444,
+                1.14104e-08,
+                -0.119473,
+                -0.228766,
+                -0.326635,
+                -0.408561,
+                -0.464077,
+                -0.494838,
+                -0.513748,
+                -0.527499,
+                -0.538637,
+                -0.548257,
+                -0.556904,
+                -0.564881,
+                -0.572373,
+                -0.5795,
+                -0.586343,
+                -0.592961,
+                -0.599398,
+                -0.605689,
+                -0.611865,
+                -0.617954,
+                -0.629981,
+                -0.642007,
+                -0.734612,
+                -2.44552
+              ],
+              [
+                9.54252,
+                1.42473,
+                0.486292,
+                0.251081,
+                3.92213e-08,
+                -0.126391,
+                -0.245409,
+                -0.3566,
+                -0.458998,
+                -0.549561,
+                -0.619995,
+                -0.664548,
+                -0.691844,
+                -0.710758,
+                -0.725416,
+                -0.737641,
+                -0.748333,
+                -0.757985,
+                -0.766892,
+                -0.775241,
+                -0.783161,
+                -0.790741,
+                -0.798047,
+                -0.805132,
+                -0.812038,
+                -0.825469,
+                -0.83864,
+                -0.920715,
+                -2.62405
+              ],
+              [
+                9.57327,
+                1.51599,
+                0.493795,
+                0.25363,
+                1.54625e-07,
+                -0.128239,
+                -0.251651,
+                -0.370066,
+                -0.483285,
+                -0.591003,
+                -0.692582,
+                -0.786306,
+                -0.867535,
+                -0.929035,
+                -0.97045,
+                -0.998879,
+                -1.02009,
+                -1.03712,
+                -1.05154,
+                -1.06421,
+                -1.07565,
+                -1.08616,
+                -1.09597,
+                -1.10523,
+                -1.11404,
+                -1.13065,
+                -1.14631,
+                -1.21653,
+                -2.89959
+              ]
+            ]
+          },
+          "is_inverting": "true",
+          "is_needed": "true",
+          "miller_cap_fall": 0.000800856,
+          "miller_cap_rise": 0.000562009,
+          "output_voltage_fall": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.0125737,
+                  0.0172081,
+                  0.0223175,
+                  0.0282875,
+                  0.0361106
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.0581564,
+                  0.0692651,
+                  0.0783678,
+                  0.0868764,
+                  0.0961582
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              }
+            ]
+          },
+          "output_voltage_rise": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.0348015,
+                  0.0750086,
+                  0.1199501,
+                  0.1732194,
+                  0.2718138
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.0951904,
+                  0.1322602,
+                  0.1769829,
+                  0.2302944,
+                  0.329208
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              }
+            ]
+          },
+          "propagated_noise_high": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  0.914476
+                ],
+                "index_2": [
+                  0.538143
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.266266,
+                  0.2861384,
+                  0.329673,
+                  0.3859839,
+                  0.4399152
+                ],
+                "values": [
+                  1.54978,
+                  1.30965,
+                  1.14957,
+                  1.30965,
+                  1.54978
+                ]
+              },
+              {
+                "index_1": [
+                  1.03365
+                ],
+                "index_2": [
+                  0.286628
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.1434093,
+                  0.1579494,
+                  0.1894649,
+                  0.23528,
+                  0.2853203
+                ],
+                "values": [
+                  1.49833,
+                  1.22734,
+                  1.04667,
+                  1.22734,
+                  1.49833
+                ]
+              },
+              {
+                "index_1": [
+                  1.15694
+                ],
+                "index_2": [
+                  0.181851
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.0920774,
+                  0.1034086,
+                  0.1269615,
+                  0.166778,
+                  0.2103621
+                ],
+                "values": [
+                  1.46919,
+                  1.1807,
+                  0.988379,
+                  1.1807,
+                  1.46919
+                ]
+              },
+              {
+                "index_1": [
+                  0.914476
+                ],
+                "index_2": [
+                  0.269072
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.137269,
+                  0.1479342,
+                  0.1676189,
+                  0.2024962,
+                  0.268243
+                ],
+                "values": [
+                  1.74351,
+                  1.61961,
+                  1.53701,
+                  1.61961,
+                  1.74351
+                ]
+              },
+              {
+                "index_1": [
+                  1.03365
+                ],
+                "index_2": [
+                  0.143314
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.0750153,
+                  0.0826544,
+                  0.0977557,
+                  0.1291162,
+                  0.177436
+                ],
+                "values": [
+                  1.72163,
+                  1.58461,
+                  1.49326,
+                  1.58461,
+                  1.72163
+                ]
+              },
+              {
+                "index_1": [
+                  1.15694
+                ],
+                "index_2": [
+                  0.0909255
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.0488199,
+                  0.0545987,
+                  0.0661106,
+                  0.0971247,
+                  0.1373023
+                ],
+                "values": [
+                  1.71015,
+                  1.56625,
+                  1.47031,
+                  1.56625,
+                  1.71015
+                ]
+              },
+              {
+                "index_1": [
+                  1.03365
+                ],
+                "index_2": [
+                  0.429941
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.2095652,
+                  0.2316042,
+                  0.2771563,
+                  0.3443209,
+                  0.4027012
+                ],
+                "values": [
+                  1.33026,
+                  0.958422,
+                  0.710528,
+                  0.958422,
+                  1.33026
+                ]
+              }
+            ]
+          },
+          "propagated_noise_low": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.083
+                ],
+                "index_2": [
+                  4.31184
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  2.0254118,
+                  2.1214834,
+                  2.1699933,
+                  2.2374094,
+                  2.3224686
+                ],
+                "values": [
+                  0.0198183,
+                  0.0317092,
+                  0.0396365,
+                  0.0317092,
+                  0.0198183
+                ]
+              },
+              {
+                "index_1": [
+                  1.18737
+                ],
+                "index_2": [
+                  2.45984
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  1.1987477,
+                  1.2356864,
+                  1.2740756,
+                  1.3263196,
+                  1.3603748
+                ],
+                "values": [
+                  0.0814499,
+                  0.13032,
+                  0.1629,
+                  0.13032,
+                  0.0814499
+                ]
+              },
+              {
+                "index_1": [
+                  1.28649
+                ],
+                "index_2": [
+                  1.62705
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.7968469,
+                  0.8339255,
+                  0.8931186,
+                  0.9387904,
+                  0.9707584
+                ],
+                "values": [
+                  0.199417,
+                  0.319067,
+                  0.398834,
+                  0.319067,
+                  0.199417
+                ]
+              },
+              {
+                "index_1": [
+                  1.083
+                ],
+                "index_2": [
+                  2.15592
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  1.0131972,
+                  1.0691444,
+                  1.0959089,
+                  1.1342696,
+                  1.1798179
+                ],
+                "values": [
+                  0.0187851,
+                  0.0300561,
+                  0.0375701,
+                  0.0300561,
+                  0.0187851
+                ]
+              },
+              {
+                "index_1": [
+                  1.18737
+                ],
+                "index_2": [
+                  1.22992
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.5966754,
+                  0.6200749,
+                  0.64798,
+                  0.6743974,
+                  0.698426
+                ],
+                "values": [
+                  0.0574809,
+                  0.0919695,
+                  0.114962,
+                  0.0919695,
+                  0.0574809
+                ]
+              },
+              {
+                "index_1": [
+                  1.28649
+                ],
+                "index_2": [
+                  0.813526
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.3988542,
+                  0.4200115,
+                  0.4510469,
+                  0.4784118,
+                  0.496749
+                ],
+                "values": [
+                  0.121364,
+                  0.194182,
+                  0.242728,
+                  0.194182,
+                  0.121364
+                ]
+              },
+              {
+                "index_1": [
+                  1.18737
+                ],
+                "index_2": [
+                  3.68976
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  1.7999035,
+                  1.8512615,
+                  1.8991686,
+                  1.9727426,
+                  2.0160277
+                ],
+                "values": [
+                  0.0986627,
+                  0.15786,
+                  0.197325,
+                  0.15786,
+                  0.0986627
+                ]
+              }
+            ]
+          },
+          "stage_type": "both"
+        },
+        "ccsn_last_stage": {
+          "dc_current ccsn_dc": {
+            "index_1": [
+              -1.95,
+              -0.975,
+              -0.39,
+              -0.195,
+              0,
+              0.0975,
+              0.195,
+              0.2925,
+              0.39,
+              0.4875,
+              0.585,
+              0.6825,
+              0.78,
+              0.8775,
+              0.975,
+              1.0725,
+              1.17,
+              1.2675,
+              1.365,
+              1.4625,
+              1.56,
+              1.6575,
+              1.755,
+              1.8525,
+              1.95,
+              2.145,
+              2.34,
+              2.925,
+              3.9
+            ],
+            "index_2": [
+              -1.95,
+              -0.975,
+              -0.39,
+              -0.195,
+              0,
+              0.0975,
+              0.195,
+              0.2925,
+              0.39,
+              0.4875,
+              0.585,
+              0.6825,
+              0.78,
+              0.8775,
+              0.975,
+              1.0725,
+              1.17,
+              1.2675,
+              1.365,
+              1.4625,
+              1.56,
+              1.6575,
+              1.755,
+              1.8525,
+              1.95,
+              2.145,
+              2.34,
+              2.925,
+              3.9
+            ],
+            "values": [
+              [
+                7.67932,
+                0.876201,
+                0.639846,
+                0.616236,
+                0.587251,
+                0.570544,
+                0.552401,
+                0.532848,
+                0.511902,
+                0.489572,
+                0.465871,
+                0.440809,
+                0.414401,
+                0.386663,
+                0.357612,
+                0.327269,
+                0.295654,
+                0.26279,
+                0.228702,
+                0.193416,
+                0.156959,
+                0.11936,
+                0.0806464,
+                0.0408497,
+                -1.06206e-08,
+                -0.0801708,
+                -0.156153,
+                -0.42187,
+                -4.0917
+              ],
+              [
+                7.48511,
+                0.702346,
+                0.487858,
+                0.476099,
+                0.46307,
+                0.455885,
+                0.448091,
+                0.439501,
+                0.429845,
+                0.41875,
+                0.405783,
+                0.390649,
+                0.373295,
+                0.353757,
+                0.332058,
+                0.308205,
+                0.282209,
+                0.254084,
+                0.223849,
+                0.19153,
+                0.15716,
+                0.120777,
+                0.0824228,
+                0.0421464,
+                -1.95288e-09,
+                -0.0838169,
+                -0.163797,
+                -0.440862,
+                -4.09786
+              ],
+              [
+                7.36443,
+                0.569494,
+                0.353146,
+                0.345042,
+                0.336441,
+                0.331895,
+                0.327143,
+                0.322137,
+                0.316815,
+                0.311087,
+                0.304826,
+                0.297834,
+                0.289808,
+                0.280272,
+                0.268619,
+                0.254381,
+                0.237391,
+                0.217603,
+                0.194989,
+                0.169535,
+                0.141236,
+                0.110103,
+                0.0761601,
+                0.0394427,
+                -1.95255e-09,
+                -0.0804852,
+                -0.159062,
+                -0.436054,
+                -4.09704
+              ],
+              [
+                7.32923,
+                0.552579,
+                0.302891,
+                0.295782,
+                0.288317,
+                0.284413,
+                0.280366,
+                0.276148,
+                0.271719,
+                0.267026,
+                0.261996,
+                0.25652,
+                0.250434,
+                0.243471,
+                0.2352,
+                0.22498,
+                0.212176,
+                0.196465,
+                0.177729,
+                0.15591,
+                0.130975,
+                0.102907,
+                0.0717066,
+                0.0373929,
+                -1.95244e-09,
+                -0.0775241,
+                -0.154375,
+                -0.430022,
+                -4.09579
+              ],
+              [
+                7.29164,
+                0.549761,
+                0.251052,
+                0.244853,
+                0.238425,
+                0.235094,
+                0.231669,
+                0.22813,
+                0.224454,
+                0.22061,
+                0.216556,
+                0.212233,
+                0.207554,
+                0.202385,
+                0.196509,
+                0.189554,
+                0.180898,
+                0.169759,
+                0.155597,
+                0.138186,
+                0.117422,
+                0.0932459,
+                0.0656221,
+                0.0345375,
+                -1.96576e-09,
+                -0.0732453,
+                -0.147474,
+                -0.420905,
+                -4.09386
+              ],
+              [
+                7.27241,
+                0.548276,
+                0.225059,
+                0.219085,
+                0.213147,
+                0.210084,
+                0.206945,
+                0.203715,
+                0.200377,
+                0.196907,
+                0.193274,
+                0.189434,
+                0.185325,
+                0.180852,
+                0.175868,
+                0.170121,
+                0.163161,
+                0.154247,
+                0.14256,
+                0.12763,
+                0.109266,
+                0.0873696,
+                0.061879,
+                0.0327596,
+                -2.26552e-09,
+                -0.070526,
+                -0.143049,
+                -0.415004,
+                -4.09257
+              ],
+              [
+                7.25315,
+                0.546886,
+                0.200797,
+                0.193338,
+                0.187872,
+                0.185067,
+                0.182201,
+                0.179265,
+                0.176245,
+                0.173123,
+                0.169876,
+                0.166472,
+                0.162867,
+                0.158996,
+                0.154758,
+                0.149988,
+                0.144391,
+                0.137419,
+                0.12819,
+                0.115891,
+                0.100129,
+                0.0807387,
+                0.057624,
+                0.0307229,
+                -9.21907e-09,
+                -0.0673726,
+                -0.137892,
+                -0.408108,
+                -4.09106
+              ],
+              [
+                7.23406,
+                0.546031,
+                0.183183,
+                0.167842,
+                0.162807,
+                0.16025,
+                0.157648,
+                0.154992,
+                0.152273,
+                0.149477,
+                0.146588,
+                0.143582,
+                0.14043,
+                0.137086,
+                0.133482,
+                0.129513,
+                0.124994,
+                0.119576,
+                0.112572,
+                0.10296,
+                0.0899803,
+                0.0733197,
+                0.0528284,
+                0.0284101,
+                -1.7003e-07,
+                -0.0637508,
+                -0.131942,
+                -0.400146,
+                -4.08927
+              ],
+              [
+                7.21537,
+                0.545945,
+                0.176397,
+                0.14322,
+                0.138192,
+                0.135878,
+                0.13353,
+                0.131144,
+                0.128712,
+                0.126224,
+                0.12367,
+                0.121032,
+                0.11829,
+                0.115413,
+                0.112358,
+                0.109057,
+                0.105397,
+                0.101174,
+                0.0959659,
+                0.0888904,
+                0.0788127,
+                0.0650898,
+                0.0474674,
+                0.0258031,
+                -3.82634e-06,
+                -0.0596318,
+                -0.125146,
+                -0.391056,
+                -4.08721
+              ],
+              [
+                7.19734,
+                0.54692,
+                0.177706,
+                0.121993,
+                0.11431,
+                0.112228,
+                0.110126,
+                0.107999,
+                0.10584,
+                0.103644,
+                0.101402,
+                0.099104,
+                0.0967352,
+                0.0942763,
+                0.0916992,
+                0.0889623,
+                0.0859989,
+                0.0826936,
+                0.0788183,
+                0.0738379,
+                0.066613,
+                0.055991,
+                0.0414678,
+                0.0228254,
+                -8.07813e-05,
+                -0.0550653,
+                -0.117536,
+                -0.380901,
+                -4.08503
+              ],
+              [
+                7.18027,
+                0.549276,
+                0.183236,
+                0.109703,
+                0.0914892,
+                0.0895287,
+                0.0876205,
+                0.0856977,
+                0.0837556,
+                0.08179,
+                0.0797957,
+                0.0777658,
+                0.0756916,
+                0.0735608,
+                0.0713566,
+                0.0690542,
+                0.0666156,
+                0.0639785,
+                0.0610271,
+                0.0575038,
+                0.0526906,
+                0.0451656,
+                0.0338886,
+                0.0184741,
+                -0.0012829,
+                -0.0511903,
+                -0.110341,
+                -0.371273,
+                -4.08512
+              ],
+              [
+                7.16449,
+                0.55336,
+                0.191368,
+                0.107643,
+                0.0701076,
+                0.0672637,
+                0.06517,
+                0.0630928,
+                0.0610088,
+                0.0589126,
+                0.0568003,
+                0.0546679,
+                0.0525103,
+                0.0503207,
+                0.0480899,
+                0.0458043,
+                0.0434439,
+                0.0409768,
+                0.0383479,
+                0.0354459,
+                0.0319687,
+                0.0269111,
+                0.0186438,
+                0.00633225,
+                -0.0103369,
+                -0.0553342,
+                -0.111489,
+                -0.372076,
+                -4.10138
+              ],
+              [
+                7.15037,
+                0.559558,
+                0.201694,
+                0.111391,
+                0.0506001,
+                0.0423317,
+                0.0388847,
+                0.0358422,
+                0.0328796,
+                0.0299454,
+                0.0270227,
+                0.0241035,
+                0.0211824,
+                0.0182544,
+                0.0153142,
+                0.0123548,
+                0.00936644,
+                0.00633422,
+                0.00323392,
+                2.10191e-05,
+                -0.00340703,
+                -0.00742552,
+                -0.0134336,
+                -0.0230888,
+                -0.0370101,
+                -0.0777746,
+                -0.131753,
+                -0.395752,
+                -4.14963
+              ],
+              [
+                7.13835,
+                0.568298,
+                0.214273,
+                0.118038,
+                0.0334668,
+                0.0128863,
+                0.00550747,
+                0.000839129,
+                -0.00331723,
+                -0.00728694,
+                -0.0111627,
+                -0.0149821,
+                -0.018764,
+                -0.0225196,
+                -0.0262564,
+                -0.0299805,
+                -0.0336981,
+                -0.0374165,
+                -0.0411459,
+                -0.0449025,
+                -0.0487182,
+                -0.0526818,
+                -0.0572892,
+                -0.06437,
+                -0.0753911,
+                -0.111497,
+                -0.162988,
+                -0.430892,
+                -4.21164
+              ],
+              [
+                7.12888,
+                0.580069,
+                0.229396,
+                0.126606,
+                0.0192952,
+                -0.0157997,
+                -0.0306447,
+                -0.0376104,
+                -0.0429772,
+                -0.0478171,
+                -0.052406,
+                -0.056849,
+                -0.0611955,
+                -0.0654725,
+                -0.0696962,
+                -0.0738773,
+                -0.0780233,
+                -0.0821403,
+                -0.0862344,
+                -0.0903123,
+                -0.0943841,
+                -0.0984688,
+                -0.102639,
+                -0.107579,
+                -0.115422,
+                -0.145734,
+                -0.193653,
+                -0.463786,
+                -4.268
+              ],
+              [
+                7.12248,
+                0.595447,
+                0.247532,
+                0.137056,
+                0.00880236,
+                -0.0398668,
+                -0.0645305,
+                -0.074744,
+                -0.0814255,
+                -0.0870352,
+                -0.092164,
+                -0.0970241,
+                -0.101712,
+                -0.10628,
+                -0.110757,
+                -0.115163,
+                -0.119511,
+                -0.123811,
+                -0.128069,
+                -0.132292,
+                -0.136487,
+                -0.140662,
+                -0.144828,
+                -0.149056,
+                -0.15438,
+                -0.178059,
+                -0.221461,
+                -0.492678,
+                -4.31667
+              ],
+              [
+                7.11978,
+                0.61512,
+                0.269251,
+                0.149817,
+                0.00269878,
+                -0.0580194,
+                -0.0934375,
+                -0.108127,
+                -0.116392,
+                -0.122811,
+                -0.128451,
+                -0.133676,
+                -0.138646,
+                -0.143445,
+                -0.148122,
+                -0.152707,
+                -0.157221,
+                -0.161678,
+                -0.166091,
+                -0.170467,
+                -0.174815,
+                -0.179143,
+                -0.183459,
+                -0.187776,
+                -0.192304,
+                -0.209361,
+                -0.247463,
+                -0.519184,
+                -4.36028
+              ],
+              [
+                7.12144,
+                0.639492,
+                0.294366,
+                0.164628,
+                0.00052844,
+                -0.0708722,
+                -0.11713,
+                -0.137771,
+                -0.148139,
+                -0.155603,
+                -0.16191,
+                -0.167625,
+                -0.172989,
+                -0.178125,
+                -0.183102,
+                -0.187962,
+                -0.192735,
+                -0.197441,
+                -0.202093,
+                -0.206703,
+                -0.211281,
+                -0.215836,
+                -0.220377,
+                -0.224914,
+                -0.229493,
+                -0.241695,
+                -0.2732,
+                -0.54464,
+                -4.40083
+              ],
+              [
+                7.12738,
+                0.667238,
+                0.320729,
+                0.17949,
+                9.18172e-05,
+                -0.0807956,
+                -0.137416,
+                -0.165401,
+                -0.178502,
+                -0.187268,
+                -0.194387,
+                -0.200691,
+                -0.206523,
+                -0.212052,
+                -0.217372,
+                -0.222542,
+                -0.227598,
+                -0.232566,
+                -0.237467,
+                -0.242313,
+                -0.247117,
+                -0.25189,
+                -0.256642,
+                -0.261386,
+                -0.266139,
+                -0.276371,
+                -0.300026,
+                -0.569843,
+                -4.43948
+              ],
+              [
+                7.13565,
+                0.696136,
+                0.346377,
+                0.193004,
+                1.68844e-05,
+                -0.0892185,
+                -0.155357,
+                -0.191709,
+                -0.208225,
+                -0.218515,
+                -0.226536,
+                -0.233471,
+                -0.239789,
+                -0.245717,
+                -0.251379,
+                -0.256848,
+                -0.262175,
+                -0.267392,
+                -0.272522,
+                -0.277584,
+                -0.282592,
+                -0.287559,
+                -0.292498,
+                -0.297422,
+                -0.302345,
+                -0.312363,
+                -0.329009,
+                -0.595259,
+                -4.47686
+              ],
+              [
+                7.14448,
+                0.725279,
+                0.370616,
+                0.20498,
+                3.09334e-06,
+                -0.0965517,
+                -0.171259,
+                -0.216566,
+                -0.237301,
+                -0.249375,
+                -0.258395,
+                -0.266001,
+                -0.272822,
+                -0.279152,
+                -0.285152,
+                -0.290915,
+                -0.296501,
+                -0.301953,
+                -0.307299,
+                -0.312561,
+                -0.317756,
+                -0.3229,
+                -0.328006,
+                -0.333091,
+                -0.338169,
+                -0.348393,
+                -0.36087,
+                -0.621171,
+                -4.51336
+              ],
+              [
+                7.15338,
+                0.754466,
+                0.393147,
+                0.215533,
+                4.92373e-07,
+                -0.102967,
+                -0.185317,
+                -0.239704,
+                -0.265582,
+                -0.279769,
+                -0.289909,
+                -0.29824,
+                -0.305587,
+                -0.312331,
+                -0.31867,
+                -0.324724,
+                -0.330565,
+                -0.336245,
+                -0.341797,
+                -0.347249,
+                -0.35262,
+                -0.357929,
+                -0.363191,
+                -0.368424,
+                -0.373643,
+                -0.38412,
+                -0.395223,
+                -0.647759,
+                -4.54923
+              ],
+              [
+                7.16227,
+                0.783628,
+                0.413761,
+                0.224817,
+                5.23722e-08,
+                -0.108584,
+                -0.19772,
+                -0.2609,
+                -0.292894,
+                -0.309611,
+                -0.321023,
+                -0.330144,
+                -0.338051,
+                -0.345224,
+                -0.351912,
+                -0.358259,
+                -0.364355,
+                -0.370259,
+                -0.376013,
+                -0.381649,
+                -0.38719,
+                -0.392656,
+                -0.398066,
+                -0.403438,
+                -0.408789,
+                -0.419513,
+                -0.430499,
+                -0.675142,
+                -4.58465
+              ],
+              [
+                7.17111,
+                0.812719,
+                0.432324,
+                0.232976,
+                6.49319e-09,
+                -0.113502,
+                -0.208649,
+                -0.280024,
+                -0.319044,
+                -0.338807,
+                -0.351682,
+                -0.361677,
+                -0.370185,
+                -0.37781,
+                -0.384859,
+                -0.391506,
+                -0.397858,
+                -0.403988,
+                -0.409943,
+                -0.415761,
+                -0.421468,
+                -0.427087,
+                -0.43264,
+                -0.438144,
+                -0.443622,
+                -0.45458,
+                -0.465723,
+                -0.703395,
+                -4.61974
+              ],
+              [
+                7.17991,
+                0.841696,
+                0.448794,
+                0.240136,
+                4.82653e-09,
+                -0.117805,
+                -0.218272,
+                -0.297069,
+                -0.343821,
+                -0.36726,
+                -0.381834,
+                -0.392804,
+                -0.401965,
+                -0.410071,
+                -0.417497,
+                -0.424453,
+                -0.431069,
+                -0.437427,
+                -0.443584,
+                -0.449583,
+                -0.455455,
+                -0.461226,
+                -0.466918,
+                -0.472553,
+                -0.478152,
+                -0.489335,
+                -0.500674,
+                -0.732565,
+                -4.6546
+              ],
+              [
+                7.19739,
+                0.89901,
+                0.475773,
+                0.2519,
+                7.68233e-09,
+                -0.124849,
+                -0.234179,
+                -0.325375,
+                -0.388381,
+                -0.421472,
+                -0.440393,
+                -0.453713,
+                -0.464376,
+                -0.473553,
+                -0.4818,
+                -0.489419,
+                -0.496587,
+                -0.503419,
+                -0.509992,
+                -0.516361,
+                -0.522565,
+                -0.528639,
+                -0.534608,
+                -0.540499,
+                -0.546336,
+                -0.557951,
+                -0.56968,
+                -0.79372,
+                -4.72392
+              ],
+              [
+                7.21468,
+                0.955368,
+                0.495946,
+                0.260854,
+                1.25095e-08,
+                -0.130194,
+                -0.246431,
+                -0.347154,
+                -0.425141,
+                -0.471126,
+                -0.496179,
+                -0.51259,
+                -0.52511,
+                -0.535554,
+                -0.54474,
+                -0.553097,
+                -0.560869,
+                -0.568211,
+                -0.575224,
+                -0.58198,
+                -0.58853,
+                -0.594914,
+                -0.601166,
+                -0.607315,
+                -0.613389,
+                -0.625427,
+                -0.637528,
+                -0.858359,
+                -4.79299
+              ],
+              [
+                7.26519,
+                1.11236,
+                0.529533,
+                0.275979,
+                4.31658e-08,
+                -0.139259,
+                -0.26808,
+                -0.386052,
+                -0.491687,
+                -0.579232,
+                -0.638362,
+                -0.67284,
+                -0.694975,
+                -0.711286,
+                -0.724481,
+                -0.7358,
+                -0.745889,
+                -0.755116,
+                -0.76371,
+                -0.771823,
+                -0.779559,
+                -0.786994,
+                -0.794185,
+                -0.801179,
+                -0.808018,
+                -0.82138,
+                -0.834582,
+                -1.05682,
+                -4.99781
+              ],
+              [
+                7.3393,
+                1.26641,
+                0.540408,
+                0.279681,
+                1.70245e-07,
+                -0.141892,
+                -0.276838,
+                -0.404727,
+                -0.525387,
+                -0.638425,
+                -0.74263,
+                -0.834146,
+                -0.904919,
+                -0.952041,
+                -0.983262,
+                -1.00589,
+                -1.02374,
+                -1.03868,
+                -1.0517,
+                -1.06339,
+                -1.07409,
+                -1.08405,
+                -1.09343,
+                -1.10235,
+                -1.11088,
+                -1.12709,
+                -1.14252,
+                -1.36861,
+                -5.31383
+              ]
+            ]
+          },
+          "is_inverting": "true",
+          "is_needed": "true",
+          "miller_cap_fall": 0.000739184,
+          "miller_cap_rise": 0.000578439,
+          "output_voltage_fall": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.00735618
+                ],
+                "index_3": [
+                  0.0144857,
+                  0.021846,
+                  0.0296546,
+                  0.0380638,
+                  0.0488692
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              },
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.0220685
+                ],
+                "index_3": [
+                  0.0206742,
+                  0.0406903,
+                  0.0618279,
+                  0.084553,
+                  0.1136395
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.00735618
+                ],
+                "index_3": [
+                  0.0616108,
+                  0.0766727,
+                  0.0879621,
+                  0.0979176,
+                  0.108843
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.0220685
+                ],
+                "index_3": [
+                  0.0748644,
+                  0.1005019,
+                  0.1216158,
+                  0.1445416,
+                  0.1745483
+                ],
+                "values": [
+                  1.755,
+                  1.365,
+                  0.975,
+                  0.585,
+                  0.195
+                ]
+              }
+            ]
+          },
+          "output_voltage_rise": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.00366807
+                ],
+                "index_3": [
+                  0.0179593,
+                  0.0263871,
+                  0.0355364,
+                  0.0464587,
+                  0.0654984
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              },
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.0110042
+                ],
+                "index_3": [
+                  0.0247705,
+                  0.0459922,
+                  0.0691766,
+                  0.0965374,
+                  0.1416547
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.00366807
+                ],
+                "index_3": [
+                  0.0751528,
+                  0.0904477,
+                  0.1014917,
+                  0.1123985,
+                  0.1313127
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.0110042
+                ],
+                "index_3": [
+                  0.0871259,
+                  0.1113172,
+                  0.1343853,
+                  0.1616753,
+                  0.2067961
+                ],
+                "values": [
+                  0.195,
+                  0.585,
+                  0.975,
+                  1.365,
+                  1.755
+                ]
+              }
+            ]
+          },
+          "propagated_noise_high": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  0.926795
+                ],
+                "index_2": [
+                  0.80078
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.3909553,
+                  0.4174164,
+                  0.4589839,
+                  0.5138495,
+                  0.5525268
+                ],
+                "values": [
+                  1.62602,
+                  1.43163,
+                  1.30204,
+                  1.43163,
+                  1.62602
+                ]
+              },
+              {
+                "index_1": [
+                  1.04513
+                ],
+                "index_2": [
+                  0.427825
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.2106081,
+                  0.2311343,
+                  0.2649688,
+                  0.3088477,
+                  0.3408965
+                ],
+                "values": [
+                  1.53266,
+                  1.28226,
+                  1.11533,
+                  1.28226,
+                  1.53266
+                ]
+              },
+              {
+                "index_1": [
+                  1.16732
+                ],
+                "index_2": [
+                  0.270805
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.1348573,
+                  0.1513377,
+                  0.1834436,
+                  0.2153154,
+                  0.2418433
+                ],
+                "values": [
+                  1.48347,
+                  1.20355,
+                  1.01694,
+                  1.20355,
+                  1.48347
+                ]
+              },
+              {
+                "index_1": [
+                  0.926795
+                ],
+                "index_2": [
+                  0.40039
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.1983918,
+                  0.2125447,
+                  0.2439203,
+                  0.2689111,
+                  0.2958029
+                ],
+                "values": [
+                  1.76427,
+                  1.65283,
+                  1.57853,
+                  1.65283,
+                  1.76427
+                ]
+              },
+              {
+                "index_1": [
+                  1.16732
+                ],
+                "index_2": [
+                  0.135402
+                ],
+                "index_3": [
+                  0.00735618
+                ],
+                "index_4": [
+                  0.0702377,
+                  0.0787597,
+                  0.091361,
+                  0.1146539,
+                  0.1347185
+                ],
+                "values": [
+                  1.70394,
+                  1.55631,
+                  1.45789,
+                  1.55631,
+                  1.70394
+                ]
+              },
+              {
+                "index_1": [
+                  1.04513
+                ],
+                "index_2": [
+                  0.427825
+                ],
+                "index_3": [
+                  0.0220685
+                ],
+                "index_4": [
+                  0.2123767,
+                  0.2349324,
+                  0.2769634,
+                  0.3267411,
+                  0.3795024
+                ],
+                "values": [
+                  1.76757,
+                  1.65812,
+                  1.58515,
+                  1.65812,
+                  1.76757
+                ]
+              },
+              {
+                "index_1": [
+                  1.16732
+                ],
+                "index_2": [
+                  0.270805
+                ],
+                "index_3": [
+                  0.0220685
+                ],
+                "index_4": [
+                  0.1363905,
+                  0.1546725,
+                  0.1919711,
+                  0.2308602,
+                  0.2748328
+                ],
+                "values": [
+                  1.75015,
+                  1.63024,
+                  1.5503,
+                  1.63024,
+                  1.75015
+                ]
+              }
+            ]
+          },
+          "propagated_noise_low": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.15341
+                ],
+                "index_2": [
+                  0.96143
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.4702677,
+                  0.4897436,
+                  0.5124296,
+                  0.5446385,
+                  0.5640324
+                ],
+                "values": [
+                  0.174849,
+                  0.279759,
+                  0.349699,
+                  0.279759,
+                  0.174849
+                ]
+              },
+              {
+                "index_1": [
+                  1.27397
+                ],
+                "index_2": [
+                  0.560477
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.2762441,
+                  0.293478,
+                  0.321592,
+                  0.3473403,
+                  0.36446
+                ],
+                "values": [
+                  0.336067,
+                  0.537708,
+                  0.672135,
+                  0.537708,
+                  0.336067
+                ]
+              },
+              {
+                "index_1": [
+                  1.38158
+                ],
+                "index_2": [
+                  0.382163
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.1896988,
+                  0.2057282,
+                  0.227276,
+                  0.2535829,
+                  0.268695
+                ],
+                "values": [
+                  0.426148,
+                  0.681837,
+                  0.852296,
+                  0.681837,
+                  0.426148
+                ]
+              },
+              {
+                "index_1": [
+                  1.15341
+                ],
+                "index_2": [
+                  0.480715
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.2366596,
+                  0.2475874,
+                  0.2618871,
+                  0.2789562,
+                  0.2909946
+                ],
+                "values": [
+                  0.111232,
+                  0.177971,
+                  0.222464,
+                  0.177971,
+                  0.111232
+                ]
+              },
+              {
+                "index_1": [
+                  1.38158
+                ],
+                "index_2": [
+                  0.191081
+                ],
+                "index_3": [
+                  0.00366807
+                ],
+                "index_4": [
+                  0.0976949,
+                  0.1059943,
+                  0.1190984,
+                  0.1314656,
+                  0.1398361
+                ],
+                "values": [
+                  0.235338,
+                  0.376541,
+                  0.470677,
+                  0.376541,
+                  0.235338
+                ]
+              },
+              {
+                "index_1": [
+                  1.27397
+                ],
+                "index_2": [
+                  0.560477
+                ],
+                "index_3": [
+                  0.0110042
+                ],
+                "index_4": [
+                  0.2760895,
+                  0.296862,
+                  0.3281016,
+                  0.3588566,
+                  0.3787782
+                ],
+                "values": [
+                  0.164087,
+                  0.26254,
+                  0.328175,
+                  0.26254,
+                  0.164087
+                ]
+              },
+              {
+                "index_1": [
+                  1.38158
+                ],
+                "index_2": [
+                  0.382163
+                ],
+                "index_3": [
+                  0.0110042
+                ],
+                "index_4": [
+                  0.1907028,
+                  0.208358,
+                  0.2393691,
+                  0.2627517,
+                  0.2796988
+                ],
+                "values": [
+                  0.196862,
+                  0.314979,
+                  0.393724,
+                  0.314979,
+                  0.196862
+                ]
+              }
+            ]
+          },
+          "stage_type": "both"
+        },
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00139084,
+            0.00386886,
+            0.0107619,
+            0.0299362,
+            0.0832729,
+            0.231638
+          ],
+          "values": [
+            [
+              0.1064181,
+              0.1125778,
+              0.1262787,
+              0.1546884,
+              0.2122165,
+              0.3390621,
+              0.6630937
+            ],
+            [
+              0.1079725,
+              0.114112,
+              0.1277942,
+              0.1561903,
+              0.2136921,
+              0.3405481,
+              0.6645774
+            ],
+            [
+              0.1171221,
+              0.1231781,
+              0.1370109,
+              0.1654225,
+              0.2229416,
+              0.3497161,
+              0.6739817
+            ],
+            [
+              0.1434725,
+              0.1496142,
+              0.1632558,
+              0.1915619,
+              0.2488216,
+              0.3757746,
+              0.6998768
+            ],
+            [
+              0.2053475,
+              0.2116369,
+              0.225495,
+              0.2537266,
+              0.310811,
+              0.4377849,
+              0.7619793
+            ],
+            [
+              0.3137555,
+              0.3210032,
+              0.3367581,
+              0.3681929,
+              0.4271654,
+              0.5570666,
+              0.8813317
+            ],
+            [
+              0.517805,
+              0.5258968,
+              0.5441194,
+              0.5798309,
+              0.6456966,
+              0.7759318,
+              1.1038791
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00139084,
+            0.00386886,
+            0.0107619,
+            0.0299362,
+            0.0832729,
+            0.231638
+          ],
+          "values": [
+            [
+              0.0342657,
+              0.0386716,
+              0.0500753,
+              0.0806245,
+              0.1658459,
+              0.4024545,
+              1.0627148
+            ],
+            [
+              0.0378798,
+              0.0422649,
+              0.0535991,
+              0.0843434,
+              0.1694708,
+              0.4070913,
+              1.0675233
+            ],
+            [
+              0.0446908,
+              0.0491389,
+              0.0605083,
+              0.0913833,
+              0.1764609,
+              0.4132605,
+              1.0880319
+            ],
+            [
+              0.0498083,
+              0.0546326,
+              0.0663119,
+              0.0974042,
+              0.1829022,
+              0.4196257,
+              1.0862669
+            ],
+            [
+              0.0449667,
+              0.0509929,
+              0.0637107,
+              0.0946431,
+              0.1805538,
+              0.4166045,
+              1.079367
+            ],
+            [
+              0.0093863,
+              0.0170013,
+              0.0330835,
+              0.0651439,
+              0.1502465,
+              0.3881945,
+              1.0464188
+            ],
+            [
+              -0.1086676,
+              -0.09905,
+              -0.0782645,
+              -0.0384107,
+              0.047989,
+              0.2845227,
+              0.9460593
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00139084,
+            0.00386886,
+            0.0107619,
+            0.0299362,
+            0.0832729,
+            0.231638
+          ],
+          "values": [
+            [
+              0.023915,
+              0.0284083,
+              0.0392608,
+              0.0621003,
+              0.116331,
+              0.2576121,
+              0.6689018
+            ],
+            [
+              0.0239395,
+              0.0284186,
+              0.0392196,
+              0.0620764,
+              0.1162382,
+              0.2573952,
+              0.6675246
+            ],
+            [
+              0.0239392,
+              0.0282638,
+              0.0391624,
+              0.0620608,
+              0.1160503,
+              0.2574961,
+              0.6675618
+            ],
+            [
+              0.0236586,
+              0.028029,
+              0.0388116,
+              0.0618802,
+              0.1164667,
+              0.2577926,
+              0.6709708
+            ],
+            [
+              0.0262979,
+              0.0306757,
+              0.0404196,
+              0.0634279,
+              0.1163323,
+              0.2581815,
+              0.6692558
+            ],
+            [
+              0.0340638,
+              0.0387036,
+              0.0493675,
+              0.0714812,
+              0.1218345,
+              0.261988,
+              0.6690533
+            ],
+            [
+              0.0440944,
+              0.0492688,
+              0.0611618,
+              0.0855844,
+              0.1352553,
+              0.2673318,
+              0.6744136
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00139084,
+            0.00386886,
+            0.0107619,
+            0.0299362,
+            0.0832729,
+            0.231638
+          ],
+          "values": [
+            [
+              0.0125032,
+              0.0178062,
+              0.0332275,
+              0.0771441,
+              0.2005171,
+              0.5430982,
+              1.4977429
+            ],
+            [
+              0.0125005,
+              0.0178401,
+              0.0331681,
+              0.0772852,
+              0.2003676,
+              0.5435833,
+              1.4941433
+            ],
+            [
+              0.0132495,
+              0.0183432,
+              0.0333756,
+              0.0772717,
+              0.2006362,
+              0.5432064,
+              1.4954447
+            ],
+            [
+              0.0157101,
+              0.0203134,
+              0.0343658,
+              0.0773379,
+              0.2000014,
+              0.5420109,
+              1.4993732
+            ],
+            [
+              0.0202506,
+              0.0248721,
+              0.0371998,
+              0.0781032,
+              0.1997669,
+              0.5408194,
+              1.4921048
+            ],
+            [
+              0.0262298,
+              0.0320554,
+              0.0454173,
+              0.0813667,
+              0.2007258,
+              0.5412785,
+              1.4888155
+            ],
+            [
+              0.0361441,
+              0.0437048,
+              0.0598521,
+              0.0953186,
+              0.2046104,
+              0.5419145,
+              1.4863357
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_100C_1v40.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_100C_1v40.lib.json
new file mode 100644
index 0000000..1cff37f
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_100C_1v40.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 1.438862,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 1.7839789,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 6.734711,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.5977061,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.0838875,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.5898462,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 0.9881362,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.5581488,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 0.5850118,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 1.7839272,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 7.3286763,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.5977059,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.0838773,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.5898461,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 0.9881291,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.5581487,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 0.5850117,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 1.7839137,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 7.0813515,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.5977058,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.0838747,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.5898425,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 0.9881272,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.5581487,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 0.5850117,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.7324561,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 1.9411355,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.5793173,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.6979503,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.574966,
+      "when": "A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 0.6874987,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.5535217,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 0.5720275,
+      "when": "A1&A2&B1&C1&!D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.002352,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002282,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          0.0027764,
+          0.002758,
+          0.0027208,
+          0.0027134,
+          0.002711,
+          0.0027245,
+          0.0027881
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          -0.0023768,
+          -0.0023936,
+          -0.0024239,
+          -0.0024293,
+          -0.0024238,
+          -0.0023837,
+          -0.002234
+        ]
+      }
+    },
+    "max_transition": 10.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002421
+  },
+  "pin A2": {
+    "capacitance": 0.002221,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002139,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          0.0024111,
+          0.0023989,
+          0.0023815,
+          0.0023725,
+          0.0023654,
+          0.0023643,
+          0.0023823
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          -0.0024003,
+          -0.0024078,
+          -0.0024089,
+          -0.0024204,
+          -0.002434,
+          -0.0024543,
+          -0.0024959
+        ]
+      }
+    },
+    "max_transition": 10.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002303
+  },
+  "pin B1": {
+    "capacitance": 0.002359,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002245,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          0.0025199,
+          0.0025148,
+          0.0025088,
+          0.0025043,
+          0.0025002,
+          0.002498,
+          0.0025015
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          -0.0021964,
+          -0.0022131,
+          -0.0022461,
+          -0.0022598,
+          -0.0022832,
+          -0.0023377,
+          -0.0024902
+        ]
+      }
+    },
+    "max_transition": 10.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002473
+  },
+  "pin C1": {
+    "capacitance": 0.002326,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002206,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          0.0023569,
+          0.0023513,
+          0.0023438,
+          0.0023394,
+          0.0023357,
+          0.0023346,
+          0.0023417
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          -0.0021151,
+          -0.0021532,
+          -0.0022543,
+          -0.0022652,
+          -0.0022803,
+          -0.0023087,
+          -0.0023793
+        ]
+      }
+    },
+    "max_transition": 10.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002446
+  },
+  "pin D1": {
+    "capacitance": 0.002299,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002154,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          0.0016115,
+          0.0016024,
+          0.0015843,
+          0.0015845,
+          0.001596,
+          0.0016427,
+          0.0018012
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          -0.0011898,
+          -0.0011965,
+          -0.0012017,
+          -0.0012095,
+          -0.0012175,
+          -0.0012268,
+          -0.0012399
+        ]
+      }
+    },
+    "max_transition": 10.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002443
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001614929,
+            0.005215992,
+            0.01684691,
+            0.05441314,
+            0.1757467,
+            0.5676369
+          ],
+          "values": [
+            [
+              0.0096994,
+              0.0090321,
+              0.0063957,
+              -0.0038237,
+              -0.0399914,
+              -0.1587253,
+              -0.5428376
+            ],
+            [
+              0.0097091,
+              0.0090186,
+              0.0063606,
+              -0.0038496,
+              -0.0400164,
+              -0.1587799,
+              -0.5428607
+            ],
+            [
+              0.0096125,
+              0.0089461,
+              0.006282,
+              -0.003941,
+              -0.0400931,
+              -0.1588541,
+              -0.542906
+            ],
+            [
+              0.0094521,
+              0.0087824,
+              0.0061233,
+              -0.004107,
+              -0.0402662,
+              -0.1590406,
+              -0.5430791
+            ],
+            [
+              0.0093032,
+              0.0086255,
+              0.005969,
+              -0.0042759,
+              -0.040421,
+              -0.1591546,
+              -0.5432428
+            ],
+            [
+              0.0090792,
+              0.0083041,
+              0.0057487,
+              -0.0043953,
+              -0.0405167,
+              -0.1592497,
+              -0.5432929
+            ],
+            [
+              0.011469,
+              0.0103908,
+              0.0069294,
+              -0.0043139,
+              -0.0408995,
+              -0.1594324,
+              -0.5434145
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001614929,
+            0.005215992,
+            0.01684691,
+            0.05441314,
+            0.1757467,
+            0.5676369
+          ],
+          "values": [
+            [
+              0.0095803,
+              0.0108974,
+              0.0148443,
+              0.0266572,
+              0.0635871,
+              0.1817124,
+              0.5630911
+            ],
+            [
+              0.0095527,
+              0.0108638,
+              0.014806,
+              0.0266423,
+              0.0635105,
+              0.1816726,
+              0.5621044
+            ],
+            [
+              0.0094847,
+              0.0108101,
+              0.0147046,
+              0.0265641,
+              0.0637391,
+              0.1821586,
+              0.5628348
+            ],
+            [
+              0.0094067,
+              0.0107193,
+              0.0146263,
+              0.0264403,
+              0.0635572,
+              0.181282,
+              0.5620426
+            ],
+            [
+              0.0093796,
+              0.0106327,
+              0.0144463,
+              0.0261014,
+              0.063159,
+              0.1812382,
+              0.5618826
+            ],
+            [
+              0.0099997,
+              0.0111013,
+              0.0147043,
+              0.0259898,
+              0.0629786,
+              0.1808687,
+              0.5625654
+            ],
+            [
+              0.0105081,
+              0.011562,
+              0.015022,
+              0.026501,
+              0.0632506,
+              0.181627,
+              0.5614546
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001614929,
+            0.005215992,
+            0.01684691,
+            0.05441314,
+            0.1757467,
+            0.5676369
+          ],
+          "values": [
+            [
+              0.0113089,
+              0.0105955,
+              0.0079183,
+              -0.0022442,
+              -0.0383479,
+              -0.1570457,
+              -0.541022
+            ],
+            [
+              0.0112752,
+              0.0105984,
+              0.0079028,
+              -0.0022868,
+              -0.0383847,
+              -0.1570679,
+              -0.5410512
+            ],
+            [
+              0.0112059,
+              0.0105139,
+              0.007891,
+              -0.0023362,
+              -0.038432,
+              -0.1571325,
+              -0.5411218
+            ],
+            [
+              0.0111399,
+              0.0104263,
+              0.0077947,
+              -0.0024301,
+              -0.0385136,
+              -0.1572114,
+              -0.5411752
+            ],
+            [
+              0.011052,
+              0.0103695,
+              0.0076732,
+              -0.0025207,
+              -0.0386187,
+              -0.1572843,
+              -0.5412516
+            ],
+            [
+              0.0108771,
+              0.0100642,
+              0.0074846,
+              -0.0026242,
+              -0.0386977,
+              -0.1573416,
+              -0.5413018
+            ],
+            [
+              0.0134084,
+              0.012332,
+              0.0088534,
+              -0.0023848,
+              -0.0389846,
+              -0.1575052,
+              -0.5413955
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001614929,
+            0.005215992,
+            0.01684691,
+            0.05441314,
+            0.1757467,
+            0.5676369
+          ],
+          "values": [
+            [
+              0.0095659,
+              0.0108936,
+              0.0147899,
+              0.026647,
+              0.0638212,
+              0.1822268,
+              0.5629457
+            ],
+            [
+              0.0095011,
+              0.0108316,
+              0.0147645,
+              0.0266167,
+              0.0637766,
+              0.182183,
+              0.5629634
+            ],
+            [
+              0.0094304,
+              0.0107425,
+              0.0146948,
+              0.0265346,
+              0.0636339,
+              0.1813533,
+              0.5621746
+            ],
+            [
+              0.0093502,
+              0.0106636,
+              0.0145771,
+              0.0264144,
+              0.0635798,
+              0.18187,
+              0.5630158
+            ],
+            [
+              0.0093577,
+              0.0106383,
+              0.0144214,
+              0.0262249,
+              0.0630319,
+              0.1811246,
+              0.5618216
+            ],
+            [
+              0.0101556,
+              0.0112646,
+              0.0148208,
+              0.0261743,
+              0.0629636,
+              0.1809476,
+              0.5618076
+            ],
+            [
+              0.0106307,
+              0.0117049,
+              0.0152951,
+              0.0267363,
+              0.0635384,
+              0.1818415,
+              0.5624085
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001614929,
+            0.005215992,
+            0.01684691,
+            0.05441314,
+            0.1757467,
+            0.5676369
+          ],
+          "values": [
+            [
+              0.0098936,
+              0.0091812,
+              0.0065475,
+              -0.0036549,
+              -0.0397696,
+              -0.1583666,
+              -0.5424261
+            ],
+            [
+              0.0098611,
+              0.0091724,
+              0.0064961,
+              -0.0037135,
+              -0.0398218,
+              -0.1585103,
+              -0.5424824
+            ],
+            [
+              0.0097499,
+              0.0090698,
+              0.0063924,
+              -0.003802,
+              -0.0399072,
+              -0.1585814,
+              -0.5425485
+            ],
+            [
+              0.0096263,
+              0.008948,
+              0.0062713,
+              -0.003926,
+              -0.0400344,
+              -0.1587176,
+              -0.5426877
+            ],
+            [
+              0.0095415,
+              0.0088328,
+              0.0061692,
+              -0.0040127,
+              -0.0401246,
+              -0.1587872,
+              -0.5427482
+            ],
+            [
+              0.0091934,
+              0.0085546,
+              0.0060105,
+              -0.0041706,
+              -0.0402367,
+              -0.1588973,
+              -0.5428263
+            ],
+            [
+              0.0119289,
+              0.0108446,
+              0.0073652,
+              -0.0039024,
+              -0.0405405,
+              -0.1590289,
+              -0.5429045
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001614929,
+            0.005215992,
+            0.01684691,
+            0.05441314,
+            0.1757467,
+            0.5676369
+          ],
+          "values": [
+            [
+              0.0085142,
+              0.0097634,
+              0.013511,
+              0.0250546,
+              0.0616888,
+              0.1795639,
+              0.5598752
+            ],
+            [
+              0.0085245,
+              0.0097721,
+              0.0135279,
+              0.0250782,
+              0.0618676,
+              0.1801604,
+              0.5607365
+            ],
+            [
+              0.0085291,
+              0.009768,
+              0.0135185,
+              0.0250645,
+              0.0617948,
+              0.1801349,
+              0.5606941
+            ],
+            [
+              0.0084152,
+              0.0096275,
+              0.0133481,
+              0.0249092,
+              0.0618136,
+              0.1799544,
+              0.560887
+            ],
+            [
+              0.0083619,
+              0.0094746,
+              0.0130936,
+              0.0245392,
+              0.0615115,
+              0.1797118,
+              0.5606441
+            ],
+            [
+              0.0085382,
+              0.0096367,
+              0.013214,
+              0.0246195,
+              0.0613372,
+              0.1790794,
+              0.5595906
+            ],
+            [
+              0.0089807,
+              0.0100553,
+              0.0135394,
+              0.0249814,
+              0.0621128,
+              0.1797478,
+              0.5604614
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001614929,
+            0.005215992,
+            0.01684691,
+            0.05441314,
+            0.1757467,
+            0.5676369
+          ],
+          "values": [
+            [
+              0.008714,
+              0.0079654,
+              0.0053349,
+              -0.0048471,
+              -0.0409649,
+              -0.1596933,
+              -0.5436709
+            ],
+            [
+              0.008651,
+              0.0079602,
+              0.0053213,
+              -0.0049083,
+              -0.0409971,
+              -0.1596666,
+              -0.5436484
+            ],
+            [
+              0.0085486,
+              0.0078739,
+              0.0051874,
+              -0.0049955,
+              -0.041104,
+              -0.1597846,
+              -0.5437452
+            ],
+            [
+              0.0084402,
+              0.0077647,
+              0.0050866,
+              -0.0051166,
+              -0.0412167,
+              -0.159916,
+              -0.5438598
+            ],
+            [
+              0.0083568,
+              0.0076764,
+              0.0049699,
+              -0.005213,
+              -0.0413198,
+              -0.1599679,
+              -0.5439316
+            ],
+            [
+              0.0082054,
+              0.0073095,
+              0.0047784,
+              -0.0053861,
+              -0.0414657,
+              -0.1600855,
+              -0.5440422
+            ],
+            [
+              0.0108206,
+              0.0097306,
+              0.0062286,
+              -0.0050977,
+              -0.0417235,
+              -0.1602044,
+              -0.5440715
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001614929,
+            0.005215992,
+            0.01684691,
+            0.05441314,
+            0.1757467,
+            0.5676369
+          ],
+          "values": [
+            [
+              0.0079018,
+              0.0090995,
+              0.0127507,
+              0.0242671,
+              0.0605569,
+              0.179125,
+              0.559922
+            ],
+            [
+              0.0079425,
+              0.0091329,
+              0.0128003,
+              0.024238,
+              0.0607433,
+              0.1785748,
+              0.5586136
+            ],
+            [
+              0.0080208,
+              0.0092091,
+              0.0128684,
+              0.0243974,
+              0.0609049,
+              0.1786544,
+              0.5589015
+            ],
+            [
+              0.0078739,
+              0.0090416,
+              0.0126849,
+              0.0242187,
+              0.0607371,
+              0.1783522,
+              0.5595296
+            ],
+            [
+              0.0076786,
+              0.0088026,
+              0.012361,
+              0.0237109,
+              0.0606691,
+              0.178759,
+              0.5585519
+            ],
+            [
+              0.0076462,
+              0.0087789,
+              0.0123597,
+              0.0237536,
+              0.0603381,
+              0.1779528,
+              0.5592858
+            ],
+            [
+              0.0079402,
+              0.0091279,
+              0.0126041,
+              0.0242021,
+              0.0607488,
+              0.1790405,
+              0.5593559
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001614929,
+            0.005215992,
+            0.01684691,
+            0.05441314,
+            0.1757467,
+            0.5676369
+          ],
+          "values": [
+            [
+              0.0083729,
+              0.0076566,
+              0.00497,
+              -0.005181,
+              -0.0413006,
+              -0.1599885,
+              -0.5439634
+            ],
+            [
+              0.0083039,
+              0.0076232,
+              0.0049441,
+              -0.0052425,
+              -0.0413559,
+              -0.160028,
+              -0.5440035
+            ],
+            [
+              0.0081842,
+              0.0075015,
+              0.0047998,
+              -0.005379,
+              -0.0414816,
+              -0.1601624,
+              -0.5441337
+            ],
+            [
+              0.0079933,
+              0.0073237,
+              0.004671,
+              -0.0055567,
+              -0.0416556,
+              -0.1603432,
+              -0.5443157
+            ],
+            [
+              0.0079047,
+              0.0071932,
+              0.0045673,
+              -0.0056573,
+              -0.0417634,
+              -0.1604343,
+              -0.5443954
+            ],
+            [
+              0.0088451,
+              0.007764,
+              0.0042566,
+              -0.0058623,
+              -0.0419448,
+              -0.1605718,
+              -0.5444751
+            ],
+            [
+              0.0106109,
+              0.009528,
+              0.0060191,
+              -0.0053233,
+              -0.041971,
+              -0.1604426,
+              -0.5442998
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001614929,
+            0.005215992,
+            0.01684691,
+            0.05441314,
+            0.1757467,
+            0.5676369
+          ],
+          "values": [
+            [
+              0.0061059,
+              0.0072644,
+              0.0108318,
+              0.0221411,
+              0.0586755,
+              0.1761241,
+              0.5566295
+            ],
+            [
+              0.0061091,
+              0.007266,
+              0.0108493,
+              0.0222648,
+              0.0586976,
+              0.1761609,
+              0.5566749
+            ],
+            [
+              0.0060298,
+              0.0071937,
+              0.0107775,
+              0.0221438,
+              0.0589113,
+              0.1762648,
+              0.5569168
+            ],
+            [
+              0.005771,
+              0.006913,
+              0.0104993,
+              0.0218927,
+              0.058373,
+              0.1759507,
+              0.5564356
+            ],
+            [
+              0.0055389,
+              0.0066783,
+              0.0101565,
+              0.0214635,
+              0.058084,
+              0.1755633,
+              0.5557641
+            ],
+            [
+              0.0054069,
+              0.0065584,
+              0.0101061,
+              0.0215195,
+              0.0578057,
+              0.1761026,
+              0.555824
+            ],
+            [
+              0.005819,
+              0.0069239,
+              0.0104169,
+              0.0218832,
+              0.0588258,
+              0.1756902,
+              0.5554711
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.567637,
+    "max_transition": 9.996761,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00161493,
+            0.00521599,
+            0.0168469,
+            0.0544131,
+            0.175747,
+            0.567637
+          ],
+          "values": [
+            [
+              0.9643814,
+              1.0000427,
+              1.0807267,
+              1.2507145,
+              1.6162363,
+              2.572798,
+              5.5664149
+            ],
+            [
+              0.9741588,
+              1.0100042,
+              1.0918487,
+              1.2613393,
+              1.6240613,
+              2.5815579,
+              5.5788485
+            ],
+            [
+              1.0025235,
+              1.0386502,
+              1.1201439,
+              1.2891769,
+              1.6544317,
+              2.610911,
+              5.6043068
+            ],
+            [
+              1.1043643,
+              1.1402708,
+              1.2216729,
+              1.388734,
+              1.7553179,
+              2.7127316,
+              5.7159184
+            ],
+            [
+              1.4073432,
+              1.4431333,
+              1.5241473,
+              1.6939823,
+              2.0592363,
+              3.0157601,
+              6.0109908
+            ],
+            [
+              2.2054063,
+              2.243741,
+              2.3305264,
+              2.5086109,
+              2.8793772,
+              3.8383612,
+              6.8300743
+            ],
+            [
+              4.0067882,
+              4.0566812,
+              4.1667607,
+              4.3855835,
+              4.8170188,
+              5.8197607,
+              8.819816
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00161493,
+            0.00521599,
+            0.0168469,
+            0.0544131,
+            0.175747,
+            0.567637
+          ],
+          "values": [
+            [
+              0.2555575,
+              0.2789982,
+              0.3394417,
+              0.5017649,
+              0.989804,
+              2.5312952,
+              7.4878441
+            ],
+            [
+              0.2644357,
+              0.2878456,
+              0.3481677,
+              0.510474,
+              0.9985885,
+              2.5406122,
+              7.4947151
+            ],
+            [
+              0.295954,
+              0.3196361,
+              0.3794758,
+              0.5416413,
+              1.0295086,
+              2.5724931,
+              7.5238873
+            ],
+            [
+              0.399495,
+              0.4228504,
+              0.4821818,
+              0.6435717,
+              1.1316538,
+              2.6747053,
+              7.6197439
+            ],
+            [
+              0.7053458,
+              0.7291875,
+              0.7895647,
+              0.9492485,
+              1.4344811,
+              2.9780323,
+              7.9237941
+            ],
+            [
+              1.3507648,
+              1.3833845,
+              1.4557486,
+              1.6198864,
+              2.1059612,
+              3.650763,
+              8.5986684
+            ],
+            [
+              2.5604145,
+              2.6151092,
+              2.7277589,
+              2.9381362,
+              3.4270806,
+              4.9717412,
+              9.9202246
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00161493,
+            0.00521599,
+            0.0168469,
+            0.0544131,
+            0.175747,
+            0.567637
+          ],
+          "values": [
+            [
+              0.1102282,
+              0.1321339,
+              0.189925,
+              0.3213079,
+              0.6803921,
+              1.8671478,
+              5.8868466
+            ],
+            [
+              0.1099648,
+              0.1313794,
+              0.185065,
+              0.3199125,
+              0.6786557,
+              1.8729602,
+              5.85749
+            ],
+            [
+              0.1103503,
+              0.1325784,
+              0.1858473,
+              0.3216139,
+              0.6799509,
+              1.8677757,
+              5.8862839
+            ],
+            [
+              0.1107417,
+              0.1333238,
+              0.1872596,
+              0.3231874,
+              0.6779859,
+              1.870037,
+              5.8631331
+            ],
+            [
+              0.1100717,
+              0.1317428,
+              0.1886335,
+              0.3209735,
+              0.6805768,
+              1.8683879,
+              5.8856147
+            ],
+            [
+              0.1220433,
+              0.1436415,
+              0.1989905,
+              0.3312347,
+              0.6901559,
+              1.868985,
+              5.8631109
+            ],
+            [
+              0.1680595,
+              0.1941086,
+              0.258499,
+              0.4054899,
+              0.7742066,
+              1.9136968,
+              5.8810792
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00161493,
+            0.00521599,
+            0.0168469,
+            0.0544131,
+            0.175747,
+            0.567637
+          ],
+          "values": [
+            [
+              0.0622386,
+              0.0826456,
+              0.1439086,
+              0.3433883,
+              1.0038814,
+              3.1273206,
+              9.9823874
+            ],
+            [
+              0.0621643,
+              0.0825778,
+              0.1437874,
+              0.3435885,
+              1.0036592,
+              3.1296365,
+              9.9775121
+            ],
+            [
+              0.0613557,
+              0.0820889,
+              0.1438572,
+              0.3434631,
+              1.0027154,
+              3.126118,
+              9.9843316
+            ],
+            [
+              0.060459,
+              0.0812639,
+              0.1422738,
+              0.3432549,
+              1.0011985,
+              3.1333307,
+              9.9948672
+            ],
+            [
+              0.0665536,
+              0.0862387,
+              0.1445374,
+              0.3405402,
+              1.0026957,
+              3.1325702,
+              9.9948974
+            ],
+            [
+              0.1025137,
+              0.119257,
+              0.1699073,
+              0.3498008,
+              1.0021183,
+              3.133998,
+              9.9896873
+            ],
+            [
+              0.1811047,
+              0.2094065,
+              0.2679732,
+              0.4143275,
+              1.0091726,
+              3.1405567,
+              9.9880522
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00161493,
+            0.00521599,
+            0.0168469,
+            0.0544131,
+            0.175747,
+            0.567637
+          ],
+          "values": [
+            [
+              1.0474522,
+              1.0831189,
+              1.1646517,
+              1.3373401,
+              1.7052941,
+              2.6649138,
+              5.6694711
+            ],
+            [
+              1.0549852,
+              1.0912412,
+              1.174014,
+              1.3447619,
+              1.712822,
+              2.672911,
+              5.6679021
+            ],
+            [
+              1.0864796,
+              1.122925,
+              1.2056289,
+              1.3768011,
+              1.7447113,
+              2.7052842,
+              5.7096852
+            ],
+            [
+              1.1897481,
+              1.2255992,
+              1.3065844,
+              1.4784611,
+              1.8469223,
+              2.8073688,
+              5.8117164
+            ],
+            [
+              1.4732503,
+              1.5098515,
+              1.5911095,
+              1.763062,
+              2.1308768,
+              3.0909824,
+              6.0885337
+            ],
+            [
+              2.2018978,
+              2.2396538,
+              2.3265994,
+              2.5040614,
+              2.8774088,
+              3.8403738,
+              6.8378285
+            ],
+            [
+              3.8027355,
+              3.8511438,
+              3.9571959,
+              4.1740416,
+              4.6011803,
+              5.6020482,
+              8.6033198
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00161493,
+            0.00521599,
+            0.0168469,
+            0.0544131,
+            0.175747,
+            0.567637
+          ],
+          "values": [
+            [
+              0.2644635,
+              0.2882294,
+              0.3481938,
+              0.5104917,
+              0.9984157,
+              2.5416595,
+              7.4930311
+            ],
+            [
+              0.2748059,
+              0.2987074,
+              0.35898,
+              0.5210989,
+              1.0089516,
+              2.5520448,
+              7.504422
+            ],
+            [
+              0.3080563,
+              0.3317557,
+              0.3919521,
+              0.554096,
+              1.0423126,
+              2.5853653,
+              7.5298932
+            ],
+            [
+              0.4083151,
+              0.4318018,
+              0.4914735,
+              0.6531727,
+              1.1413105,
+              2.68441,
+              7.6322282
+            ],
+            [
+              0.7066666,
+              0.7306934,
+              0.7907891,
+              0.9513034,
+              1.4383211,
+              2.9814167,
+              7.9277305
+            ],
+            [
+              1.4072731,
+              1.4375816,
+              1.5069367,
+              1.6738607,
+              2.1604125,
+              3.7052013,
+              8.6504315
+            ],
+            [
+              2.873346,
+              2.9204215,
+              3.0258256,
+              3.2283578,
+              3.721049,
+              5.2647264,
+              10.2145137
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00161493,
+            0.00521599,
+            0.0168469,
+            0.0544131,
+            0.175747,
+            0.567637
+          ],
+          "values": [
+            [
+              0.1140646,
+              0.1349551,
+              0.192239,
+              0.3250669,
+              0.6835855,
+              1.8734845,
+              5.8753464
+            ],
+            [
+              0.1137125,
+              0.1348168,
+              0.1889627,
+              0.3252472,
+              0.6841306,
+              1.8682462,
+              5.879377
+            ],
+            [
+              0.1136513,
+              0.1352675,
+              0.1914854,
+              0.3232846,
+              0.6844795,
+              1.8704773,
+              5.8879337
+            ],
+            [
+              0.1140976,
+              0.1350105,
+              0.1894874,
+              0.3245925,
+              0.6847895,
+              1.8713211,
+              5.8910841
+            ],
+            [
+              0.1146109,
+              0.136913,
+              0.1901816,
+              0.3249013,
+              0.6838873,
+              1.8699982,
+              5.877137
+            ],
+            [
+              0.12285,
+              0.1461382,
+              0.1998582,
+              0.3329522,
+              0.6916533,
+              1.8715778,
+              5.8734422
+            ],
+            [
+              0.1650368,
+              0.1901616,
+              0.2574561,
+              0.4019157,
+              0.7651691,
+              1.9112065,
+              5.881954
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00161493,
+            0.00521599,
+            0.0168469,
+            0.0544131,
+            0.175747,
+            0.567637
+          ],
+          "values": [
+            [
+              0.0616096,
+              0.0824076,
+              0.1441141,
+              0.3435492,
+              1.0027487,
+              3.1259422,
+              9.9841806
+            ],
+            [
+              0.0623678,
+              0.0825544,
+              0.1438123,
+              0.3434362,
+              1.0026228,
+              3.1259944,
+              9.9816981
+            ],
+            [
+              0.06201,
+              0.0824581,
+              0.143409,
+              0.3440842,
+              1.0014819,
+              3.1334537,
+              9.9951913
+            ],
+            [
+              0.0612947,
+              0.0814951,
+              0.1428586,
+              0.34357,
+              1.0022737,
+              3.1320104,
+              9.9912505
+            ],
+            [
+              0.0654554,
+              0.0857771,
+              0.1448234,
+              0.341462,
+              1.0014359,
+              3.1331462,
+              9.9930322
+            ],
+            [
+              0.0880309,
+              0.1082138,
+              0.1659645,
+              0.3505809,
+              0.9985467,
+              3.1325833,
+              9.9950324
+            ],
+            [
+              0.1540698,
+              0.1810011,
+              0.2387767,
+              0.3990343,
+              1.0108626,
+              3.1361118,
+              9.98355
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00161493,
+            0.00521599,
+            0.0168469,
+            0.0544131,
+            0.175747,
+            0.567637
+          ],
+          "values": [
+            [
+              1.0047552,
+              1.0404155,
+              1.1233388,
+              1.2948311,
+              1.662725,
+              2.6226901,
+              5.6258758
+            ],
+            [
+              1.0094731,
+              1.0456263,
+              1.127794,
+              1.2997721,
+              1.6674812,
+              2.6271387,
+              5.6295941
+            ],
+            [
+              1.0341416,
+              1.0705961,
+              1.152008,
+              1.323741,
+              1.692111,
+              2.6520055,
+              5.6508953
+            ],
+            [
+              1.1296731,
+              1.1660417,
+              1.2474217,
+              1.4190802,
+              1.7874235,
+              2.7474345,
+              5.7450085
+            ],
+            [
+              1.4220812,
+              1.4574761,
+              1.5410971,
+              1.7111427,
+              2.0794397,
+              3.0390699,
+              6.0414349
+            ],
+            [
+              2.2553759,
+              2.2932936,
+              2.3821844,
+              2.5609239,
+              2.9362914,
+              3.8993093,
+              6.9042252
+            ],
+            [
+              4.2110404,
+              4.2642085,
+              4.3812678,
+              4.6065902,
+              5.0390652,
+              6.0401737,
+              9.0471355
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00161493,
+            0.00521599,
+            0.0168469,
+            0.0544131,
+            0.175747,
+            0.567637
+          ],
+          "values": [
+            [
+              0.1523442,
+              0.1718604,
+              0.2240701,
+              0.3755624,
+              0.8536846,
+              2.3889439,
+              7.3319453
+            ],
+            [
+              0.1632979,
+              0.1827977,
+              0.2350493,
+              0.3868167,
+              0.8651952,
+              2.4016544,
+              7.3471393
+            ],
+            [
+              0.1986545,
+              0.2180099,
+              0.2701778,
+              0.4219938,
+              0.9003523,
+              2.4366367,
+              7.3840671
+            ],
+            [
+              0.3103941,
+              0.3294058,
+              0.3811328,
+              0.5327997,
+              1.0114735,
+              2.5464437,
+              7.4981781
+            ],
+            [
+              0.587678,
+              0.6105578,
+              0.6664145,
+              0.8189683,
+              1.2984909,
+              2.8347009,
+              7.7851023
+            ],
+            [
+              1.1483554,
+              1.1857851,
+              1.2617138,
+              1.4249937,
+              1.903421,
+              3.4392742,
+              8.3869303
+            ],
+            [
+              2.1643065,
+              2.237615,
+              2.3745195,
+              2.6091285,
+              3.1038188,
+              4.6382085,
+              9.581993
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00161493,
+            0.00521599,
+            0.0168469,
+            0.0544131,
+            0.175747,
+            0.567637
+          ],
+          "values": [
+            [
+              0.1140806,
+              0.1348939,
+              0.1914769,
+              0.3248687,
+              0.6836632,
+              1.872392,
+              5.873412
+            ],
+            [
+              0.114433,
+              0.134805,
+              0.191115,
+              0.3245532,
+              0.6837164,
+              1.8737381,
+              5.8588956
+            ],
+            [
+              0.1135315,
+              0.1349218,
+              0.1904082,
+              0.3252408,
+              0.6835652,
+              1.8709687,
+              5.8752709
+            ],
+            [
+              0.1135956,
+              0.1348014,
+              0.1906387,
+              0.3254822,
+              0.6836864,
+              1.8699458,
+              5.8767515
+            ],
+            [
+              0.1140259,
+              0.1356213,
+              0.1881053,
+              0.3254068,
+              0.6840036,
+              1.8732951,
+              5.8567657
+            ],
+            [
+              0.1266351,
+              0.1525697,
+              0.2034742,
+              0.3369372,
+              0.6943324,
+              1.8720607,
+              5.8893375
+            ],
+            [
+              0.1879976,
+              0.2153468,
+              0.2780846,
+              0.4244001,
+              0.7758494,
+              1.9149653,
+              5.8879936
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00161493,
+            0.00521599,
+            0.0168469,
+            0.0544131,
+            0.175747,
+            0.567637
+          ],
+          "values": [
+            [
+              0.0494229,
+              0.0676668,
+              0.1271723,
+              0.3280087,
+              0.9901064,
+              3.121172,
+              9.9865591
+            ],
+            [
+              0.0495073,
+              0.0675983,
+              0.1272576,
+              0.3289391,
+              0.9871427,
+              3.1206864,
+              9.9746424
+            ],
+            [
+              0.049258,
+              0.0674224,
+              0.1270185,
+              0.3290859,
+              0.9878276,
+              3.1169325,
+              9.9792494
+            ],
+            [
+              0.0492306,
+              0.0673145,
+              0.126469,
+              0.3286947,
+              0.989391,
+              3.1221112,
+              9.983788
+            ],
+            [
+              0.064765,
+              0.0813192,
+              0.1347825,
+              0.3283814,
+              0.9880347,
+              3.1207905,
+              9.9839612
+            ],
+            [
+              0.1123537,
+              0.1296467,
+              0.1733477,
+              0.3428398,
+              0.9951114,
+              3.119385,
+              9.9835082
+            ],
+            [
+              0.2213494,
+              0.2547842,
+              0.3136303,
+              0.4402995,
+              1.0061532,
+              3.1339251,
+              9.9781667
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00161493,
+            0.00521599,
+            0.0168469,
+            0.0544131,
+            0.175747,
+            0.567637
+          ],
+          "values": [
+            [
+              0.912553,
+              0.9489329,
+              1.029086,
+              1.2019773,
+              1.5702777,
+              2.5301463,
+              5.5289879
+            ],
+            [
+              0.91712,
+              0.9537863,
+              1.034743,
+              1.206712,
+              1.5752176,
+              2.5359452,
+              5.5347327
+            ],
+            [
+              0.9402074,
+              0.9763506,
+              1.056748,
+              1.2297965,
+              1.5979361,
+              2.5575013,
+              5.5530185
+            ],
+            [
+              1.0300666,
+              1.066389,
+              1.1491942,
+              1.3212276,
+              1.6887194,
+              2.6490545,
+              5.6456528
+            ],
+            [
+              1.3222521,
+              1.3587936,
+              1.4389695,
+              1.6115632,
+              1.9800219,
+              2.9399696,
+              5.9398824
+            ],
+            [
+              2.1927122,
+              2.2325237,
+              2.321026,
+              2.5004394,
+              2.8778415,
+              3.8413681,
+              6.834346
+            ],
+            [
+              4.1270103,
+              4.1856842,
+              4.3103787,
+              4.5380348,
+              4.9664459,
+              5.9600178,
+              8.9710666
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00161493,
+            0.00521599,
+            0.0168469,
+            0.0544131,
+            0.175747,
+            0.567637
+          ],
+          "values": [
+            [
+              0.1445837,
+              0.1633123,
+              0.2142245,
+              0.3640963,
+              0.8405518,
+              2.376191,
+              7.3252391
+            ],
+            [
+              0.1559381,
+              0.1746152,
+              0.2255633,
+              0.375251,
+              0.8508856,
+              2.3838893,
+              7.3259683
+            ],
+            [
+              0.1911062,
+              0.2097895,
+              0.2607163,
+              0.4106979,
+              0.8859415,
+              2.4200875,
+              7.3586355
+            ],
+            [
+              0.3011136,
+              0.3196928,
+              0.3704792,
+              0.5207093,
+              0.9966318,
+              2.5333966,
+              7.479275
+            ],
+            [
+              0.5637997,
+              0.5870474,
+              0.6430653,
+              0.7949393,
+              1.2739599,
+              2.8029901,
+              7.7565003
+            ],
+            [
+              1.0877887,
+              1.127052,
+              1.2055923,
+              1.3701749,
+              1.8469204,
+              3.3825047,
+              8.3249345
+            ],
+            [
+              2.0034319,
+              2.0810487,
+              2.2312134,
+              2.4768303,
+              2.9714411,
+              4.5064117,
+              9.4444941
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00161493,
+            0.00521599,
+            0.0168469,
+            0.0544131,
+            0.175747,
+            0.567637
+          ],
+          "values": [
+            [
+              0.1131524,
+              0.1348746,
+              0.1900739,
+              0.3253191,
+              0.6835782,
+              1.8712858,
+              5.8759055
+            ],
+            [
+              0.1137612,
+              0.1353458,
+              0.1904212,
+              0.3245524,
+              0.6849585,
+              1.8777002,
+              5.8945133
+            ],
+            [
+              0.1139707,
+              0.1360899,
+              0.1917677,
+              0.3252579,
+              0.6836327,
+              1.8737709,
+              5.8794178
+            ],
+            [
+              0.113363,
+              0.1347457,
+              0.1887115,
+              0.3229243,
+              0.6830649,
+              1.8746582,
+              5.8923852
+            ],
+            [
+              0.1144139,
+              0.1367676,
+              0.1918252,
+              0.3250469,
+              0.6834285,
+              1.871757,
+              5.8723235
+            ],
+            [
+              0.1291058,
+              0.1511235,
+              0.2067884,
+              0.3388436,
+              0.6950765,
+              1.8718997,
+              5.8868879
+            ],
+            [
+              0.2123003,
+              0.2379893,
+              0.298364,
+              0.4313887,
+              0.7705871,
+              1.9113322,
+              5.8826148
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00161493,
+            0.00521599,
+            0.0168469,
+            0.0544131,
+            0.175747,
+            0.567637
+          ],
+          "values": [
+            [
+              0.047247,
+              0.0654225,
+              0.1245546,
+              0.3263201,
+              0.9883083,
+              3.11835,
+              9.9842401
+            ],
+            [
+              0.0471951,
+              0.0652359,
+              0.1244643,
+              0.3263308,
+              0.9866679,
+              3.1184952,
+              9.9708428
+            ],
+            [
+              0.0472331,
+              0.0652297,
+              0.1242274,
+              0.3264218,
+              0.9883785,
+              3.1202634,
+              9.9849355
+            ],
+            [
+              0.0481036,
+              0.0658736,
+              0.1246565,
+              0.3258183,
+              0.9898279,
+              3.1224143,
+              9.9789546
+            ],
+            [
+              0.0662608,
+              0.0820786,
+              0.1347001,
+              0.3267089,
+              0.9893512,
+              3.1151157,
+              9.976497
+            ],
+            [
+              0.1165344,
+              0.1350029,
+              0.1779873,
+              0.34366,
+              0.9935166,
+              3.1228056,
+              9.979475
+            ],
+            [
+              0.2374451,
+              0.2741601,
+              0.3288016,
+              0.4550519,
+              1.0086655,
+              3.1258633,
+              9.9754738
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00161493,
+            0.00521599,
+            0.0168469,
+            0.0544131,
+            0.175747,
+            0.567637
+          ],
+          "values": [
+            [
+              0.7814714,
+              0.8174879,
+              0.8990881,
+              1.0708444,
+              1.4390679,
+              2.399021,
+              5.3965916
+            ],
+            [
+              0.7835477,
+              0.8199112,
+              0.9027678,
+              1.0731839,
+              1.4414893,
+              2.4012967,
+              5.4010987
+            ],
+            [
+              0.8016577,
+              0.8381362,
+              0.9195653,
+              1.0918297,
+              1.4598359,
+              2.4200644,
+              5.4149862
+            ],
+            [
+              0.8804807,
+              0.9168296,
+              0.9990148,
+              1.171041,
+              1.5387811,
+              2.4993112,
+              5.5003628
+            ],
+            [
+              1.1598081,
+              1.195534,
+              1.2783681,
+              1.4494498,
+              1.8174056,
+              2.7780906,
+              5.782018
+            ],
+            [
+              1.9998447,
+              2.0390014,
+              2.1241846,
+              2.2966415,
+              2.6729334,
+              3.639071,
+              6.6357058
+            ],
+            [
+              3.6435406,
+              3.7056189,
+              3.8347334,
+              4.0547352,
+              4.4597543,
+              5.4383529,
+              8.4599838
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00161493,
+            0.00521599,
+            0.0168469,
+            0.0544131,
+            0.175747,
+            0.567637
+          ],
+          "values": [
+            [
+              0.1313126,
+              0.1495992,
+              0.1993013,
+              0.3473103,
+              0.8226086,
+              2.3577589,
+              7.3423854
+            ],
+            [
+              0.1423741,
+              0.1605541,
+              0.2105403,
+              0.3590824,
+              0.8337339,
+              2.3668365,
+              7.3465638
+            ],
+            [
+              0.1771915,
+              0.1954442,
+              0.2453183,
+              0.393837,
+              0.8692955,
+              2.3978863,
+              7.342071
+            ],
+            [
+              0.2847525,
+              0.3031174,
+              0.353227,
+              0.5022251,
+              0.9816644,
+              2.5106099,
+              7.4921918
+            ],
+            [
+              0.5304537,
+              0.5544095,
+              0.6110686,
+              0.762847,
+              1.2387468,
+              2.7714759,
+              7.7264461
+            ],
+            [
+              1.0077762,
+              1.0509311,
+              1.1352604,
+              1.3033261,
+              1.7806884,
+              3.3102578,
+              8.2574578
+            ],
+            [
+              1.8095099,
+              1.8951796,
+              2.0627211,
+              2.325103,
+              2.8299505,
+              4.3592743,
+              9.3022061
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00161493,
+            0.00521599,
+            0.0168469,
+            0.0544131,
+            0.175747,
+            0.567637
+          ],
+          "values": [
+            [
+              0.1145516,
+              0.1348116,
+              0.1902268,
+              0.3250509,
+              0.68344,
+              1.8706394,
+              5.8772351
+            ],
+            [
+              0.1133785,
+              0.1346805,
+              0.1885004,
+              0.325366,
+              0.6833995,
+              1.8718498,
+              5.8727445
+            ],
+            [
+              0.1132592,
+              0.1349059,
+              0.190219,
+              0.3250475,
+              0.6831434,
+              1.8673649,
+              5.8795968
+            ],
+            [
+              0.1134677,
+              0.1374595,
+              0.1891801,
+              0.3229195,
+              0.6843829,
+              1.875855,
+              5.8962608
+            ],
+            [
+              0.1129633,
+              0.1347211,
+              0.1919454,
+              0.3242596,
+              0.6844477,
+              1.8708153,
+              5.8881269
+            ],
+            [
+              0.1342118,
+              0.1521425,
+              0.2016015,
+              0.335859,
+              0.6952914,
+              1.8737967,
+              5.8775695
+            ],
+            [
+              0.241752,
+              0.2675414,
+              0.3132213,
+              0.426693,
+              0.7481614,
+              1.9063073,
+              5.8848015
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00161493,
+            0.00521599,
+            0.0168469,
+            0.0544131,
+            0.175747,
+            0.567637
+          ],
+          "values": [
+            [
+              0.0458024,
+              0.0638086,
+              0.1230843,
+              0.3240355,
+              0.9890068,
+              3.112764,
+              9.9967608
+            ],
+            [
+              0.0458001,
+              0.0637547,
+              0.1232082,
+              0.3254033,
+              0.9890886,
+              3.1132712,
+              9.9912389
+            ],
+            [
+              0.0458492,
+              0.0639744,
+              0.1230853,
+              0.3248869,
+              0.9919319,
+              3.1077383,
+              9.9658282
+            ],
+            [
+              0.0481577,
+              0.065536,
+              0.1238223,
+              0.3251271,
+              0.9840843,
+              3.113797,
+              9.9930455
+            ],
+            [
+              0.0687205,
+              0.0841163,
+              0.1355631,
+              0.3264036,
+              0.9869897,
+              3.118894,
+              9.9747881
+            ],
+            [
+              0.1296312,
+              0.1479015,
+              0.1892677,
+              0.3472551,
+              0.9886575,
+              3.1186405,
+              9.9783835
+            ],
+            [
+              0.2656863,
+              0.3060295,
+              0.3657256,
+              0.4787607,
+              1.0146622,
+              3.1163436,
+              9.9645288
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_100C_1v60.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_100C_1v60.lib.json
new file mode 100644
index 0000000..7c0f255
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_100C_1v60.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 2.086644,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 2.6966021,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 9.8832587,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.824887,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.5875401,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.8155501,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 1.4420949,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.777589,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 0.8098638,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 2.6965166,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 10.7291251,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.8248868,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.5875239,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.81555,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 1.4420837,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.7775889,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 0.8098637,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 2.6964853,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 10.3824815,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.8248868,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.5875179,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.8155499,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 1.4420796,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.7775889,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 0.8098636,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.9886371,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 2.8630032,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.8027171,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.954,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.7976244,
+      "when": "A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 0.9434061,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.7721477,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 0.7940827,
+      "when": "A1&A2&B1&C1&!D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.002435,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002364,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          0.003783,
+          0.0037709,
+          0.0037496,
+          0.0037453,
+          0.0037459,
+          0.0037601,
+          0.0038126
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          -0.0031573,
+          -0.0031735,
+          -0.0032052,
+          -0.0032057,
+          -0.0031933,
+          -0.0031448,
+          -0.0029941
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002505
+  },
+  "pin A2": {
+    "capacitance": 0.002306,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.00222,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          0.0032948,
+          0.0032845,
+          0.0032682,
+          0.0032614,
+          0.003255,
+          0.0032496,
+          0.0032472
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          -0.0032874,
+          -0.003291,
+          -0.0032875,
+          -0.0032959,
+          -0.0033063,
+          -0.0033218,
+          -0.0033514
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002393
+  },
+  "pin B1": {
+    "capacitance": 0.002433,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002308,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          0.0034215,
+          0.0034212,
+          0.0034265,
+          0.0034234,
+          0.0034207,
+          0.0034191,
+          0.0034206
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          -0.0029259,
+          -0.0029582,
+          -0.0030371,
+          -0.0030519,
+          -0.0030818,
+          -0.0031543,
+          -0.0033464
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002558
+  },
+  "pin C1": {
+    "capacitance": 0.002397,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002262,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          0.0032193,
+          0.0032147,
+          0.0032078,
+          0.0032047,
+          0.0032022,
+          0.0032012,
+          0.0032042
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          -0.0028241,
+          -0.0028863,
+          -0.0030498,
+          -0.0030606,
+          -0.0030792,
+          -0.0031199,
+          -0.0032232
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002533
+  },
+  "pin D1": {
+    "capacitance": 0.00237,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002205,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          0.0021638,
+          0.0021552,
+          0.0021368,
+          0.0021397,
+          0.0021538,
+          0.0021995,
+          0.0023344
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          -0.001509,
+          -0.001521,
+          -0.0015451,
+          -0.0015503,
+          -0.0015555,
+          -0.0015603,
+          -0.0015638
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002535
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001508897,
+            0.004553537,
+            0.01374163,
+            0.0414694,
+            0.1251461,
+            0.377665
+          ],
+          "values": [
+            [
+              0.0128922,
+              0.0121091,
+              0.0093107,
+              -0.0007901,
+              -0.0351656,
+              -0.1420235,
+              -0.4652163
+            ],
+            [
+              0.012835,
+              0.0120402,
+              0.0092447,
+              -0.0008514,
+              -0.03524,
+              -0.1420847,
+              -0.4652476
+            ],
+            [
+              0.0126803,
+              0.0118953,
+              0.0091318,
+              -0.0010056,
+              -0.035417,
+              -0.1422428,
+              -0.4654309
+            ],
+            [
+              0.0124508,
+              0.0116683,
+              0.0088725,
+              -0.0012453,
+              -0.0356563,
+              -0.1424886,
+              -0.4656692
+            ],
+            [
+              0.0122503,
+              0.0114518,
+              0.0086601,
+              -0.0014653,
+              -0.0358672,
+              -0.1427076,
+              -0.4658667
+            ],
+            [
+              0.0117624,
+              0.0110396,
+              0.0084318,
+              -0.0016214,
+              -0.0359879,
+              -0.1427964,
+              -0.4659482
+            ],
+            [
+              0.015671,
+              0.0143468,
+              0.0104752,
+              -0.0011404,
+              -0.0363415,
+              -0.1429479,
+              -0.4660612
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001508897,
+            0.004553537,
+            0.01374163,
+            0.0414694,
+            0.1251461,
+            0.377665
+          ],
+          "values": [
+            [
+              0.0128749,
+              0.0144666,
+              0.0189175,
+              0.0312586,
+              0.0670034,
+              0.1731454,
+              0.4938871
+            ],
+            [
+              0.0127952,
+              0.0143554,
+              0.0188142,
+              0.0312199,
+              0.0671052,
+              0.173751,
+              0.4947248
+            ],
+            [
+              0.0127078,
+              0.0142903,
+              0.0187272,
+              0.0310925,
+              0.0670401,
+              0.1737387,
+              0.4949541
+            ],
+            [
+              0.0125999,
+              0.01417,
+              0.0185674,
+              0.030887,
+              0.0665889,
+              0.1728744,
+              0.4934673
+            ],
+            [
+              0.012601,
+              0.0140742,
+              0.0183534,
+              0.0305071,
+              0.0662723,
+              0.1733754,
+              0.4929586
+            ],
+            [
+              0.0135668,
+              0.0148755,
+              0.0187665,
+              0.0302578,
+              0.0660012,
+              0.1731029,
+              0.492593
+            ],
+            [
+              0.0146924,
+              0.0159482,
+              0.0197135,
+              0.0314518,
+              0.0668184,
+              0.1737449,
+              0.4939717
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001508897,
+            0.004553537,
+            0.01374163,
+            0.0414694,
+            0.1251461,
+            0.377665
+          ],
+          "values": [
+            [
+              0.0150905,
+              0.0142741,
+              0.0114418,
+              0.0013809,
+              -0.0329163,
+              -0.1396915,
+              -0.4627924
+            ],
+            [
+              0.0149764,
+              0.0142084,
+              0.0114068,
+              0.0013259,
+              -0.033008,
+              -0.1397394,
+              -0.4628593
+            ],
+            [
+              0.0149694,
+              0.0141795,
+              0.0113071,
+              0.0012483,
+              -0.0330602,
+              -0.1398231,
+              -0.4629442
+            ],
+            [
+              0.0147706,
+              0.0140112,
+              0.01121,
+              0.0011027,
+              -0.033206,
+              -0.1399567,
+              -0.4630276
+            ],
+            [
+              0.0146759,
+              0.0138781,
+              0.0110893,
+              0.0009774,
+              -0.0333476,
+              -0.1400839,
+              -0.4631855
+            ],
+            [
+              0.0142922,
+              0.0135499,
+              0.0109452,
+              0.0008771,
+              -0.0334311,
+              -0.1401492,
+              -0.4632072
+            ],
+            [
+              0.0184446,
+              0.017104,
+              0.0132493,
+              0.0015799,
+              -0.0336611,
+              -0.1402525,
+              -0.4632631
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001508897,
+            0.004553537,
+            0.01374163,
+            0.0414694,
+            0.1251461,
+            0.377665
+          ],
+          "values": [
+            [
+              0.0129701,
+              0.0145537,
+              0.0189873,
+              0.0313489,
+              0.06709,
+              0.1732531,
+              0.493909
+            ],
+            [
+              0.0128773,
+              0.0144561,
+              0.0188792,
+              0.0312776,
+              0.0669303,
+              0.173495,
+              0.4934483
+            ],
+            [
+              0.0127613,
+              0.0143179,
+              0.0187279,
+              0.0311226,
+              0.0668179,
+              0.1730036,
+              0.4942633
+            ],
+            [
+              0.0126303,
+              0.0141972,
+              0.0186337,
+              0.0309429,
+              0.0666679,
+              0.1736822,
+              0.493335
+            ],
+            [
+              0.012744,
+              0.0142496,
+              0.0184978,
+              0.0307743,
+              0.0667054,
+              0.1726998,
+              0.4941569
+            ],
+            [
+              0.0137568,
+              0.0150659,
+              0.0189866,
+              0.030614,
+              0.0663409,
+              0.1722447,
+              0.4941618
+            ],
+            [
+              0.014705,
+              0.0159762,
+              0.0197877,
+              0.0315955,
+              0.0670384,
+              0.1735176,
+              0.4939812
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001508897,
+            0.004553537,
+            0.01374163,
+            0.0414694,
+            0.1251461,
+            0.377665
+          ],
+          "values": [
+            [
+              0.0130839,
+              0.012275,
+              0.0095002,
+              -0.000624,
+              -0.0349252,
+              -0.1417135,
+              -0.4648645
+            ],
+            [
+              0.0129415,
+              0.0121825,
+              0.0093814,
+              -0.0007233,
+              -0.0350279,
+              -0.1417716,
+              -0.4648601
+            ],
+            [
+              0.0128248,
+              0.012014,
+              0.0092245,
+              -0.0008576,
+              -0.0351787,
+              -0.1419283,
+              -0.4650079
+            ],
+            [
+              0.0126468,
+              0.0118356,
+              0.0090588,
+              -0.001028,
+              -0.0353256,
+              -0.1420959,
+              -0.4651888
+            ],
+            [
+              0.012519,
+              0.0117128,
+              0.0089441,
+              -0.0011659,
+              -0.0354953,
+              -0.1422302,
+              -0.46532
+            ],
+            [
+              0.0121389,
+              0.0113848,
+              0.0087474,
+              -0.0013662,
+              -0.0356465,
+              -0.1423406,
+              -0.4653639
+            ],
+            [
+              0.0162909,
+              0.0149469,
+              0.0110293,
+              -0.0006141,
+              -0.0359006,
+              -0.1424247,
+              -0.4654149
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001508897,
+            0.004553537,
+            0.01374163,
+            0.0414694,
+            0.1251461,
+            0.377665
+          ],
+          "values": [
+            [
+              0.011366,
+              0.0128474,
+              0.0170559,
+              0.0290112,
+              0.0646169,
+              0.1707067,
+              0.4918143
+            ],
+            [
+              0.0113855,
+              0.0128709,
+              0.0170798,
+              0.0290425,
+              0.0643644,
+              0.1710879,
+              0.4920749
+            ],
+            [
+              0.011411,
+              0.012893,
+              0.0170825,
+              0.0290665,
+              0.0642887,
+              0.1703374,
+              0.4907335
+            ],
+            [
+              0.0112689,
+              0.0127138,
+              0.0168725,
+              0.0288325,
+              0.0642064,
+              0.1710246,
+              0.490276
+            ],
+            [
+              0.0113088,
+              0.0126236,
+              0.0166474,
+              0.0285014,
+              0.0639312,
+              0.1707996,
+              0.4914886
+            ],
+            [
+              0.0116057,
+              0.0129295,
+              0.0168961,
+              0.0285968,
+              0.0638932,
+              0.1697273,
+              0.4900578
+            ],
+            [
+              0.0128065,
+              0.0140162,
+              0.0178791,
+              0.0296575,
+              0.0649762,
+              0.1713659,
+              0.4898506
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001508897,
+            0.004553537,
+            0.01374163,
+            0.0414694,
+            0.1251461,
+            0.377665
+          ],
+          "values": [
+            [
+              0.0113189,
+              0.0105195,
+              0.0077487,
+              -0.00237,
+              -0.0366939,
+              -0.1434346,
+              -0.4665035
+            ],
+            [
+              0.0112386,
+              0.0104338,
+              0.0076616,
+              -0.0024397,
+              -0.0367538,
+              -0.1435032,
+              -0.4665856
+            ],
+            [
+              0.0111158,
+              0.0103403,
+              0.0075178,
+              -0.0025962,
+              -0.0369255,
+              -0.1436329,
+              -0.4667443
+            ],
+            [
+              0.0109002,
+              0.0101523,
+              0.0073618,
+              -0.0027466,
+              -0.0370662,
+              -0.1438258,
+              -0.4668277
+            ],
+            [
+              0.0108295,
+              0.0100036,
+              0.0072199,
+              -0.0028911,
+              -0.0372082,
+              -0.1439272,
+              -0.4670067
+            ],
+            [
+              0.0104019,
+              0.0096457,
+              0.0069224,
+              -0.0030676,
+              -0.0373793,
+              -0.1440591,
+              -0.4671066
+            ],
+            [
+              0.0148732,
+              0.0135346,
+              0.0095613,
+              -0.0021351,
+              -0.0374417,
+              -0.1439668,
+              -0.46695
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001508897,
+            0.004553537,
+            0.01374163,
+            0.0414694,
+            0.1251461,
+            0.377665
+          ],
+          "values": [
+            [
+              0.0104521,
+              0.011878,
+              0.0159559,
+              0.0277684,
+              0.0630099,
+              0.1693951,
+              0.4901134
+            ],
+            [
+              0.0105156,
+              0.0119382,
+              0.0160363,
+              0.0278586,
+              0.0631035,
+              0.1697821,
+              0.4902599
+            ],
+            [
+              0.0106377,
+              0.0120571,
+              0.0161338,
+              0.02798,
+              0.0632357,
+              0.1699183,
+              0.4903494
+            ],
+            [
+              0.0104611,
+              0.0118408,
+              0.0158848,
+              0.0277331,
+              0.0631328,
+              0.1690311,
+              0.4905711
+            ],
+            [
+              0.0103145,
+              0.0116434,
+              0.0155726,
+              0.0273542,
+              0.0629488,
+              0.169456,
+              0.4900616
+            ],
+            [
+              0.0103466,
+              0.0116526,
+              0.0156462,
+              0.0273962,
+              0.0627197,
+              0.169045,
+              0.4897139
+            ],
+            [
+              0.0114279,
+              0.0125864,
+              0.0165691,
+              0.028411,
+              0.0639861,
+              0.1700087,
+              0.489507
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001508897,
+            0.004553537,
+            0.01374163,
+            0.0414694,
+            0.1251461,
+            0.377665
+          ],
+          "values": [
+            [
+              0.0108171,
+              0.00999,
+              0.0072156,
+              -0.0028951,
+              -0.0372211,
+              -0.1439998,
+              -0.4670613
+            ],
+            [
+              0.0106683,
+              0.0098846,
+              0.0070738,
+              -0.0030053,
+              -0.037328,
+              -0.1440724,
+              -0.4671691
+            ],
+            [
+              0.0104718,
+              0.009679,
+              0.0069026,
+              -0.0032027,
+              -0.0375254,
+              -0.1442714,
+              -0.4673598
+            ],
+            [
+              0.0102718,
+              0.0094715,
+              0.0066593,
+              -0.003408,
+              -0.0377298,
+              -0.1444726,
+              -0.467583
+            ],
+            [
+              0.0101962,
+              0.0094133,
+              0.0066166,
+              -0.0035079,
+              -0.037835,
+              -0.144573,
+              -0.4676004
+            ],
+            [
+              0.0113093,
+              0.0100098,
+              0.0065135,
+              -0.0035157,
+              -0.0378359,
+              -0.1445155,
+              -0.4675411
+            ],
+            [
+              0.0153748,
+              0.0140066,
+              0.0100272,
+              -0.0017255,
+              -0.0370561,
+              -0.1435981,
+              -0.4665612
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001508897,
+            0.004553537,
+            0.01374163,
+            0.0414694,
+            0.1251461,
+            0.377665
+          ],
+          "values": [
+            [
+              0.0078978,
+              0.0092792,
+              0.0132448,
+              0.02489,
+              0.0599424,
+              0.1650384,
+              0.4864786
+            ],
+            [
+              0.007895,
+              0.0092783,
+              0.013254,
+              0.0249142,
+              0.0600845,
+              0.1658662,
+              0.4863629
+            ],
+            [
+              0.0077757,
+              0.0091478,
+              0.0131338,
+              0.0248472,
+              0.0603032,
+              0.1662566,
+              0.4852159
+            ],
+            [
+              0.0074501,
+              0.0088221,
+              0.0127961,
+              0.0245529,
+              0.0598575,
+              0.1660476,
+              0.4850663
+            ],
+            [
+              0.0073377,
+              0.0086753,
+              0.0125161,
+              0.0242484,
+              0.0596139,
+              0.1658756,
+              0.4873919
+            ],
+            [
+              0.0073928,
+              0.0087126,
+              0.0126061,
+              0.0244101,
+              0.0596144,
+              0.165804,
+              0.4847189
+            ],
+            [
+              0.0086814,
+              0.0098088,
+              0.0137203,
+              0.0255638,
+              0.0608045,
+              0.1663076,
+              0.4872118
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.110789,
+    "max_transition": 1.490254,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015089,
+            0.00455354,
+            0.0137416,
+            0.0414694,
+            0.125146,
+            0.377665
+          ],
+          "values": [
+            [
+              0.6318633,
+              0.6550777,
+              0.707091,
+              0.8125862,
+              1.0278557,
+              1.5359306,
+              2.97862
+            ],
+            [
+              0.6385349,
+              0.6618474,
+              0.7138055,
+              0.818365,
+              1.0342709,
+              1.5426628,
+              2.9815834
+            ],
+            [
+              0.6575018,
+              0.6806372,
+              0.7322805,
+              0.8381715,
+              1.0539108,
+              1.5617425,
+              3.0047291
+            ],
+            [
+              0.7166402,
+              0.7397783,
+              0.7914331,
+              0.8972235,
+              1.1128641,
+              1.6207286,
+              3.0635914
+            ],
+            [
+              0.8711867,
+              0.8943982,
+              0.9462091,
+              1.0513949,
+              1.2670386,
+              1.775351,
+              3.2167224
+            ],
+            [
+              1.2196868,
+              1.2439092,
+              1.2991798,
+              1.4094522,
+              1.6297298,
+              2.1403026,
+              3.5786112
+            ],
+            [
+              1.8748221,
+              1.904346,
+              1.9700104,
+              2.0996826,
+              2.3464453,
+              2.8849358,
+              4.3318593
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015089,
+            0.00455354,
+            0.0137416,
+            0.0414694,
+            0.125146,
+            0.377665
+          ],
+          "values": [
+            [
+              0.1836933,
+              0.2005383,
+              0.2403981,
+              0.3387781,
+              0.6076805,
+              1.3952228,
+              3.7529828
+            ],
+            [
+              0.1901908,
+              0.2070675,
+              0.2471656,
+              0.3453951,
+              0.6146978,
+              1.4012864,
+              3.7596868
+            ],
+            [
+              0.211146,
+              0.2279962,
+              0.2678757,
+              0.3659778,
+              0.6351968,
+              1.4222468,
+              3.7813264
+            ],
+            [
+              0.2746136,
+              0.2910859,
+              0.3302524,
+              0.427651,
+              0.6958231,
+              1.4833169,
+              3.8406838
+            ],
+            [
+              0.4344377,
+              0.4514253,
+              0.4909534,
+              0.588019,
+              0.8543921,
+              1.6427607,
+              4.0023909
+            ],
+            [
+              0.7182389,
+              0.7398198,
+              0.7831357,
+              0.883278,
+              1.1507887,
+              1.9377939,
+              4.2997295
+            ],
+            [
+              1.1408915,
+              1.1718969,
+              1.2355741,
+              1.3559809,
+              1.6263633,
+              2.4130642,
+              4.7669357
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015089,
+            0.00455354,
+            0.0137416,
+            0.0414694,
+            0.125146,
+            0.377665
+          ],
+          "values": [
+            [
+              0.0762423,
+              0.0913559,
+              0.1267384,
+              0.2120073,
+              0.4143854,
+              1.0161579,
+              2.9355438
+            ],
+            [
+              0.0762325,
+              0.0914196,
+              0.1272547,
+              0.2121646,
+              0.4145762,
+              1.0181803,
+              2.9492855
+            ],
+            [
+              0.0763588,
+              0.0913578,
+              0.1294273,
+              0.2111893,
+              0.4130891,
+              1.0166801,
+              2.9324534
+            ],
+            [
+              0.0762996,
+              0.0913622,
+              0.127673,
+              0.2111001,
+              0.4132227,
+              1.0166727,
+              2.9325025
+            ],
+            [
+              0.076537,
+              0.0915697,
+              0.1274272,
+              0.2090694,
+              0.4147062,
+              1.013964,
+              2.9390776
+            ],
+            [
+              0.0835771,
+              0.0990735,
+              0.1383125,
+              0.2177992,
+              0.4192197,
+              1.0181453,
+              2.942286
+            ],
+            [
+              0.1048345,
+              0.1231536,
+              0.1651708,
+              0.2599896,
+              0.470702,
+              1.0523327,
+              2.9435612
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015089,
+            0.00455354,
+            0.0137416,
+            0.0414694,
+            0.125146,
+            0.377665
+          ],
+          "values": [
+            [
+              0.0479123,
+              0.0624352,
+              0.1015538,
+              0.2186403,
+              0.5797079,
+              1.6771294,
+              4.9915649
+            ],
+            [
+              0.0481602,
+              0.0623106,
+              0.1013893,
+              0.218788,
+              0.5797986,
+              1.6768464,
+              4.9935549
+            ],
+            [
+              0.0480182,
+              0.0620222,
+              0.1011713,
+              0.2184506,
+              0.5793558,
+              1.6742019,
+              4.9932773
+            ],
+            [
+              0.0469823,
+              0.0610453,
+              0.1002014,
+              0.2176612,
+              0.5781701,
+              1.6755136,
+              4.9919264
+            ],
+            [
+              0.0515868,
+              0.0653786,
+              0.1025058,
+              0.2166167,
+              0.5773967,
+              1.6783001,
+              4.9851789
+            ],
+            [
+              0.0697428,
+              0.0830146,
+              0.118672,
+              0.2239686,
+              0.5787752,
+              1.6777018,
+              4.9851145
+            ],
+            [
+              0.1104937,
+              0.1268087,
+              0.1639631,
+              0.2543152,
+              0.5845051,
+              1.6835163,
+              4.9900302
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015089,
+            0.00455354,
+            0.0137416,
+            0.0414694,
+            0.125146,
+            0.377665
+          ],
+          "values": [
+            [
+              0.6963745,
+              0.7201526,
+              0.7722238,
+              0.8799589,
+              1.0984318,
+              1.6091273,
+              3.0544907
+            ],
+            [
+              0.7026609,
+              0.7262291,
+              0.77928,
+              0.8865897,
+              1.1045926,
+              1.6159265,
+              3.0598839
+            ],
+            [
+              0.7245374,
+              0.7484364,
+              0.8005305,
+              0.9084249,
+              1.1265493,
+              1.6376759,
+              3.0832612
+            ],
+            [
+              0.7840123,
+              0.8076774,
+              0.8606903,
+              0.9680914,
+              1.1859933,
+              1.6972966,
+              3.1403422
+            ],
+            [
+              0.9276265,
+              0.9510864,
+              1.0039639,
+              1.1116296,
+              1.3292689,
+              1.8406013,
+              3.2805594
+            ],
+            [
+              1.2456367,
+              1.2703466,
+              1.3248149,
+              1.4355839,
+              1.6571563,
+              2.1698678,
+              3.6146837
+            ],
+            [
+              1.8194765,
+              1.8490083,
+              1.9136202,
+              2.041489,
+              2.2901669,
+              2.8273472,
+              4.2747841
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015089,
+            0.00455354,
+            0.0137416,
+            0.0414694,
+            0.125146,
+            0.377665
+          ],
+          "values": [
+            [
+              0.1908949,
+              0.2075918,
+              0.2473898,
+              0.345686,
+              0.6145209,
+              1.4020501,
+              3.7597117
+            ],
+            [
+              0.198883,
+              0.2155542,
+              0.255493,
+              0.353706,
+              0.6228201,
+              1.4104414,
+              3.7698156
+            ],
+            [
+              0.2201346,
+              0.2366693,
+              0.2763739,
+              0.3746656,
+              0.6429648,
+              1.429332,
+              3.7839891
+            ],
+            [
+              0.2781323,
+              0.294464,
+              0.3340405,
+              0.4316802,
+              0.7004091,
+              1.4881414,
+              3.847124
+            ],
+            [
+              0.4279477,
+              0.4448693,
+              0.4852813,
+              0.5822397,
+              0.8503276,
+              1.6347712,
+              3.9893941
+            ],
+            [
+              0.7253609,
+              0.7457929,
+              0.7903889,
+              0.8917462,
+              1.1591613,
+              1.946358,
+              4.300309
+            ],
+            [
+              1.2333751,
+              1.2624738,
+              1.3232837,
+              1.4415953,
+              1.7136367,
+              2.5018898,
+              4.8542677
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015089,
+            0.00455354,
+            0.0137416,
+            0.0414694,
+            0.125146,
+            0.377665
+          ],
+          "values": [
+            [
+              0.0790205,
+              0.0941521,
+              0.1314786,
+              0.2152616,
+              0.4187078,
+              1.0205514,
+              2.948378
+            ],
+            [
+              0.0789513,
+              0.0950707,
+              0.1304883,
+              0.2147841,
+              0.4190424,
+              1.0176576,
+              2.9424736
+            ],
+            [
+              0.0792218,
+              0.0940968,
+              0.1315784,
+              0.2148369,
+              0.4188999,
+              1.0201515,
+              2.9462761
+            ],
+            [
+              0.0789597,
+              0.0952224,
+              0.1303306,
+              0.2152002,
+              0.4185661,
+              1.0176212,
+              2.9391847
+            ],
+            [
+              0.0793742,
+              0.0945626,
+              0.1313912,
+              0.2141824,
+              0.4188013,
+              1.021643,
+              2.9460703
+            ],
+            [
+              0.0857528,
+              0.1007013,
+              0.137568,
+              0.2195178,
+              0.4233529,
+              1.0222176,
+              2.9316893
+            ],
+            [
+              0.1045494,
+              0.1222747,
+              0.1635827,
+              0.2562352,
+              0.465767,
+              1.0512411,
+              2.9473426
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015089,
+            0.00455354,
+            0.0137416,
+            0.0414694,
+            0.125146,
+            0.377665
+          ],
+          "values": [
+            [
+              0.0478535,
+              0.0623528,
+              0.1014713,
+              0.2186804,
+              0.5795122,
+              1.6767311,
+              4.9917421
+            ],
+            [
+              0.0483664,
+              0.0620929,
+              0.1013187,
+              0.2179219,
+              0.5806565,
+              1.679141,
+              4.9834807
+            ],
+            [
+              0.0479453,
+              0.0620586,
+              0.1011182,
+              0.2179344,
+              0.5799982,
+              1.6762391,
+              4.989023
+            ],
+            [
+              0.0474244,
+              0.0612493,
+              0.1005115,
+              0.2177912,
+              0.5798269,
+              1.6789384,
+              4.9868065
+            ],
+            [
+              0.0513299,
+              0.0649747,
+              0.1028118,
+              0.2171402,
+              0.5786731,
+              1.6777834,
+              4.9880828
+            ],
+            [
+              0.0640164,
+              0.0784133,
+              0.115792,
+              0.224114,
+              0.5780743,
+              1.6784458,
+              4.9893982
+            ],
+            [
+              0.0978458,
+              0.1144691,
+              0.1535401,
+              0.2509467,
+              0.5855444,
+              1.6854278,
+              4.9847482
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015089,
+            0.00455354,
+            0.0137416,
+            0.0414694,
+            0.125146,
+            0.377665
+          ],
+          "values": [
+            [
+              0.6643087,
+              0.6881382,
+              0.7412546,
+              0.8480375,
+              1.0662217,
+              1.5772607,
+              3.0226068
+            ],
+            [
+              0.6682397,
+              0.6919295,
+              0.7449841,
+              0.8524005,
+              1.0705652,
+              1.5817314,
+              3.0255778
+            ],
+            [
+              0.6854586,
+              0.7092689,
+              0.7610798,
+              0.868688,
+              1.0873695,
+              1.5979326,
+              3.0421394
+            ],
+            [
+              0.7405511,
+              0.7643183,
+              0.8162686,
+              0.9238968,
+              1.1424027,
+              1.6530469,
+              3.0981695
+            ],
+            [
+              0.8890738,
+              0.9127493,
+              0.9656522,
+              1.0730432,
+              1.2914249,
+              1.8022629,
+              3.2450114
+            ],
+            [
+              1.2599306,
+              1.2851682,
+              1.3414427,
+              1.4537235,
+              1.6764373,
+              2.1896827,
+              3.6319379
+            ],
+            [
+              2.0049173,
+              2.0367228,
+              2.1053481,
+              2.2394591,
+              2.4913547,
+              3.0295351,
+              4.4810053
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015089,
+            0.00455354,
+            0.0137416,
+            0.0414694,
+            0.125146,
+            0.377665
+          ],
+          "values": [
+            [
+              0.1145471,
+              0.128162,
+              0.1622467,
+              0.2519603,
+              0.5133778,
+              1.2959587,
+              3.6505925
+            ],
+            [
+              0.1228012,
+              0.1363997,
+              0.1704773,
+              0.2602341,
+              0.5213474,
+              1.3038011,
+              3.6601431
+            ],
+            [
+              0.1469755,
+              0.1604969,
+              0.1943792,
+              0.2843202,
+              0.545449,
+              1.324845,
+              3.6807928
+            ],
+            [
+              0.2139798,
+              0.2273052,
+              0.2608153,
+              0.3505112,
+              0.6109662,
+              1.3935929,
+              3.7508117
+            ],
+            [
+              0.3524052,
+              0.3680644,
+              0.4044055,
+              0.495068,
+              0.7563045,
+              1.5384249,
+              3.8960404
+            ],
+            [
+              0.5803825,
+              0.6035566,
+              0.6502804,
+              0.7476952,
+              1.0095121,
+              1.7911962,
+              4.148951
+            ],
+            [
+              0.8972227,
+              0.9356294,
+              1.0097813,
+              1.1373873,
+              1.4060018,
+              2.1902906,
+              4.5381876
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015089,
+            0.00455354,
+            0.0137416,
+            0.0414694,
+            0.125146,
+            0.377665
+          ],
+          "values": [
+            [
+              0.0789329,
+              0.0941933,
+              0.130292,
+              0.2149225,
+              0.4188187,
+              1.0203769,
+              2.9492517
+            ],
+            [
+              0.0789656,
+              0.0951479,
+              0.1302968,
+              0.2151831,
+              0.4185609,
+              1.0196094,
+              2.9363696
+            ],
+            [
+              0.0797241,
+              0.0949152,
+              0.1312056,
+              0.2130642,
+              0.4179836,
+              1.0203728,
+              2.9312128
+            ],
+            [
+              0.0795483,
+              0.0949179,
+              0.1310085,
+              0.2154455,
+              0.4185568,
+              1.0203537,
+              2.9468687
+            ],
+            [
+              0.0790899,
+              0.0944256,
+              0.1307447,
+              0.2127217,
+              0.4182517,
+              1.0214987,
+              2.9506229
+            ],
+            [
+              0.0878187,
+              0.1037338,
+              0.1398128,
+              0.2223609,
+              0.4248732,
+              1.0237617,
+              2.9504708
+            ],
+            [
+              0.1163571,
+              0.1351353,
+              0.1772399,
+              0.2710364,
+              0.4722115,
+              1.0533275,
+              2.9476639
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015089,
+            0.00455354,
+            0.0137416,
+            0.0414694,
+            0.125146,
+            0.377665
+          ],
+          "values": [
+            [
+              0.0380178,
+              0.0504396,
+              0.0880058,
+              0.2055541,
+              0.5693655,
+              1.670648,
+              4.9878977
+            ],
+            [
+              0.0380345,
+              0.0503865,
+              0.0879723,
+              0.2054475,
+              0.5684966,
+              1.6723396,
+              4.9888529
+            ],
+            [
+              0.0377469,
+              0.050305,
+              0.0877412,
+              0.2054158,
+              0.5694247,
+              1.6676478,
+              4.9859006
+            ],
+            [
+              0.0379833,
+              0.0501902,
+              0.0873545,
+              0.2052305,
+              0.5683592,
+              1.6712823,
+              4.9837552
+            ],
+            [
+              0.0488155,
+              0.0600981,
+              0.0939949,
+              0.206421,
+              0.5695891,
+              1.6715067,
+              4.9859707
+            ],
+            [
+              0.0761665,
+              0.087697,
+              0.1164639,
+              0.2166121,
+              0.5701261,
+              1.6660728,
+              4.9803468
+            ],
+            [
+              0.1280641,
+              0.1467772,
+              0.1783942,
+              0.2606021,
+              0.5791589,
+              1.6737406,
+              4.980667
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015089,
+            0.00455354,
+            0.0137416,
+            0.0414694,
+            0.125146,
+            0.377665
+          ],
+          "values": [
+            [
+              0.5979681,
+              0.6216578,
+              0.6745984,
+              0.7817498,
+              0.9998015,
+              1.510976,
+              2.9515335
+            ],
+            [
+              0.6016597,
+              0.6254632,
+              0.6782925,
+              0.7847077,
+              1.0034662,
+              1.5141701,
+              2.9583036
+            ],
+            [
+              0.6168888,
+              0.6405849,
+              0.6935136,
+              0.8011587,
+              1.01882,
+              1.5301723,
+              2.9750724
+            ],
+            [
+              0.6688248,
+              0.6925533,
+              0.7454055,
+              0.8528199,
+              1.070894,
+              1.5821469,
+              3.0257732
+            ],
+            [
+              0.8200937,
+              0.8438008,
+              0.8965326,
+              1.0039906,
+              1.222026,
+              1.7332998,
+              3.1772422
+            ],
+            [
+              1.2166951,
+              1.241882,
+              1.298596,
+              1.4120186,
+              1.6359952,
+              2.149648,
+              3.5939478
+            ],
+            [
+              1.9872362,
+              2.021376,
+              2.0943558,
+              2.2287887,
+              2.4772886,
+              3.0111473,
+              4.4650612
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015089,
+            0.00455354,
+            0.0137416,
+            0.0414694,
+            0.125146,
+            0.377665
+          ],
+          "values": [
+            [
+              0.1085608,
+              0.1215917,
+              0.1544698,
+              0.2427872,
+              0.5022798,
+              1.2847976,
+              3.6351637
+            ],
+            [
+              0.1168681,
+              0.129946,
+              0.162855,
+              0.2512267,
+              0.5101984,
+              1.2907851,
+              3.6410199
+            ],
+            [
+              0.140744,
+              0.1537682,
+              0.1866064,
+              0.275087,
+              0.5342322,
+              1.314848,
+              3.666033
+            ],
+            [
+              0.2058119,
+              0.2187534,
+              0.2515408,
+              0.3399196,
+              0.6010155,
+              1.3795698,
+              3.7383852
+            ],
+            [
+              0.3342347,
+              0.3500711,
+              0.3864101,
+              0.4765007,
+              0.7377343,
+              1.5191302,
+              3.8748569
+            ],
+            [
+              0.5398513,
+              0.5638875,
+              0.6119411,
+              0.710092,
+              0.9711858,
+              1.7511069,
+              4.1013313
+            ],
+            [
+              0.8090338,
+              0.8495286,
+              0.9274453,
+              1.0606193,
+              1.3301101,
+              2.1130397,
+              4.4591428
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015089,
+            0.00455354,
+            0.0137416,
+            0.0414694,
+            0.125146,
+            0.377665
+          ],
+          "values": [
+            [
+              0.0796391,
+              0.0947213,
+              0.1298804,
+              0.2134912,
+              0.4188531,
+              1.0218235,
+              2.948378
+            ],
+            [
+              0.0796579,
+              0.0949923,
+              0.1301336,
+              0.2136598,
+              0.4186256,
+              1.0208199,
+              2.9321958
+            ],
+            [
+              0.0799838,
+              0.0941827,
+              0.131164,
+              0.2141697,
+              0.4186522,
+              1.0179154,
+              2.9481579
+            ],
+            [
+              0.0788058,
+              0.0946016,
+              0.1302679,
+              0.2151858,
+              0.4185082,
+              1.0190048,
+              2.9379241
+            ],
+            [
+              0.0788496,
+              0.0958716,
+              0.130544,
+              0.2151758,
+              0.4186224,
+              1.019498,
+              2.9360492
+            ],
+            [
+              0.0887353,
+              0.1061411,
+              0.1416356,
+              0.2236507,
+              0.4246642,
+              1.0229642,
+              2.9348695
+            ],
+            [
+              0.1288142,
+              0.1474592,
+              0.1880153,
+              0.2717729,
+              0.468181,
+              1.0512836,
+              2.9502418
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015089,
+            0.00455354,
+            0.0137416,
+            0.0414694,
+            0.125146,
+            0.377665
+          ],
+          "values": [
+            [
+              0.0360383,
+              0.0483691,
+              0.0854959,
+              0.2026719,
+              0.5672716,
+              1.6691023,
+              4.9815054
+            ],
+            [
+              0.0360999,
+              0.0482242,
+              0.0854998,
+              0.2030856,
+              0.5673718,
+              1.6713181,
+              4.9863824
+            ],
+            [
+              0.0360452,
+              0.0483641,
+              0.085529,
+              0.2031038,
+              0.5676457,
+              1.6713864,
+              4.9865423
+            ],
+            [
+              0.0372472,
+              0.0491681,
+              0.085923,
+              0.2030559,
+              0.5671568,
+              1.6691102,
+              4.9871907
+            ],
+            [
+              0.0487097,
+              0.0598189,
+              0.0934474,
+              0.2053476,
+              0.5683763,
+              1.6720197,
+              4.9871796
+            ],
+            [
+              0.0781198,
+              0.089937,
+              0.1187365,
+              0.2168051,
+              0.5699327,
+              1.666153,
+              4.9803686
+            ],
+            [
+              0.133369,
+              0.1537482,
+              0.1870606,
+              0.2683896,
+              0.580602,
+              1.6749986,
+              4.9777102
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015089,
+            0.00455354,
+            0.0137416,
+            0.0414694,
+            0.125146,
+            0.377665
+          ],
+          "values": [
+            [
+              0.5032084,
+              0.5267273,
+              0.5792921,
+              0.6871174,
+              0.9049954,
+              1.4163802,
+              2.8585529
+            ],
+            [
+              0.5055027,
+              0.5292295,
+              0.5817113,
+              0.6895197,
+              0.9072645,
+              1.4185663,
+              2.8590346
+            ],
+            [
+              0.51659,
+              0.5402063,
+              0.5927704,
+              0.7001796,
+              0.917917,
+              1.429302,
+              2.8698861
+            ],
+            [
+              0.5638168,
+              0.5873625,
+              0.6403374,
+              0.7479501,
+              0.9657325,
+              1.4770755,
+              2.9171692
+            ],
+            [
+              0.7160303,
+              0.7396311,
+              0.7926672,
+              0.9002235,
+              1.1177683,
+              1.6290381,
+              3.073503
+            ],
+            [
+              1.1217215,
+              1.1465938,
+              1.2002561,
+              1.3073681,
+              1.5302022,
+              2.0444635,
+              3.484607
+            ],
+            [
+              1.8263453,
+              1.8613067,
+              1.9336042,
+              2.0622535,
+              2.2945417,
+              2.8163414,
+              4.2742658
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015089,
+            0.00455354,
+            0.0137416,
+            0.0414694,
+            0.125146,
+            0.377665
+          ],
+          "values": [
+            [
+              0.0971188,
+              0.1097891,
+              0.1417643,
+              0.228848,
+              0.4871568,
+              1.2679432,
+              3.6306338
+            ],
+            [
+              0.1055641,
+              0.118215,
+              0.1502461,
+              0.2373831,
+              0.4960979,
+              1.2775675,
+              3.6313015
+            ],
+            [
+              0.1292538,
+              0.1418765,
+              0.1739379,
+              0.2614657,
+              0.5214559,
+              1.3041376,
+              3.648629
+            ],
+            [
+              0.1913766,
+              0.2041271,
+              0.2365225,
+              0.3242396,
+              0.5845053,
+              1.3670328,
+              3.7120678
+            ],
+            [
+              0.3064737,
+              0.3228378,
+              0.3597411,
+              0.4499722,
+              0.7100047,
+              1.4941958,
+              3.8493497
+            ],
+            [
+              0.4847124,
+              0.510711,
+              0.5618663,
+              0.6617749,
+              0.9221543,
+              1.7018688,
+              4.0533972
+            ],
+            [
+              0.7011999,
+              0.7458463,
+              0.8310153,
+              0.9726903,
+              1.2459233,
+              2.0249886,
+              4.3754365
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015089,
+            0.00455354,
+            0.0137416,
+            0.0414694,
+            0.125146,
+            0.377665
+          ],
+          "values": [
+            [
+              0.0788824,
+              0.0954443,
+              0.1318924,
+              0.2147144,
+              0.4188661,
+              1.018764,
+              2.9415108
+            ],
+            [
+              0.0794831,
+              0.0943995,
+              0.1312027,
+              0.2145223,
+              0.4188993,
+              1.0218292,
+              2.9465799
+            ],
+            [
+              0.0795523,
+              0.0944685,
+              0.1305635,
+              0.2152604,
+              0.4187513,
+              1.0206131,
+              2.944438
+            ],
+            [
+              0.0793598,
+              0.0956009,
+              0.130697,
+              0.2142892,
+              0.4183816,
+              1.0207243,
+              2.9441536
+            ],
+            [
+              0.0794388,
+              0.0941577,
+              0.1297899,
+              0.2144206,
+              0.4184399,
+              1.019329,
+              2.9374634
+            ],
+            [
+              0.0897389,
+              0.1030486,
+              0.1365758,
+              0.2204556,
+              0.4248874,
+              1.0227272,
+              2.9456679
+            ],
+            [
+              0.1380143,
+              0.1554826,
+              0.1893104,
+              0.26087,
+              0.4507301,
+              1.0444264,
+              2.9513185
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015089,
+            0.00455354,
+            0.0137416,
+            0.0414694,
+            0.125146,
+            0.377665
+          ],
+          "values": [
+            [
+              0.0347438,
+              0.0471208,
+              0.0843437,
+              0.2019076,
+              0.5689043,
+              1.6686846,
+              4.9935012
+            ],
+            [
+              0.034754,
+              0.0470409,
+              0.0841714,
+              0.2021273,
+              0.5673828,
+              1.6694169,
+              4.9810494
+            ],
+            [
+              0.0348365,
+              0.0471805,
+              0.0843715,
+              0.2024392,
+              0.5692715,
+              1.6791333,
+              4.9920059
+            ],
+            [
+              0.0372999,
+              0.049153,
+              0.0851521,
+              0.2023651,
+              0.5704519,
+              1.6700454,
+              4.9930509
+            ],
+            [
+              0.0514738,
+              0.0622029,
+              0.0945896,
+              0.205311,
+              0.5673905,
+              1.6729694,
+              4.9922502
+            ],
+            [
+              0.0848128,
+              0.0977057,
+              0.1255401,
+              0.2198674,
+              0.5695419,
+              1.6640629,
+              4.9902362
+            ],
+            [
+              0.147564,
+              0.1687828,
+              0.2045775,
+              0.2837406,
+              0.5845469,
+              1.671887,
+              4.9779842
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_n40C_1v28.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_n40C_1v28.lib.json
new file mode 100644
index 0000000..9422e3f
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_n40C_1v28.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 2.615015e-05,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 2.9075317e-05,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 5.895224e-05,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 2.8788122e-05,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.3166583e-05,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 2.8825216e-05,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 1.5400492e-05,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 2.8788024e-05,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 1.3132211e-05,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 2.9075317e-05,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 6.5762296e-05,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 2.8788122e-05,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.3166583e-05,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 2.8825216e-05,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 1.5400492e-05,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 2.8788024e-05,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 1.3132211e-05,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 2.9075317e-05,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 6.4679463e-05,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 2.8788122e-05,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.3166583e-05,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 2.8825216e-05,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 1.5400492e-05,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 2.8788024e-05,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 1.3132211e-05,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 2.8837284e-05,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 1.9088416e-05,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 2.878735e-05,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.3083228e-05,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 2.8839314e-05,
+      "when": "A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 1.532811e-05,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 2.8787956e-05,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 1.3131132e-05,
+      "when": "A1&A2&B1&C1&!D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.002028,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.001977,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          0.0019483,
+          0.0019447,
+          0.0019346,
+          0.001938,
+          0.0019477,
+          0.0019748,
+          0.0020512
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          -0.0017665,
+          -0.0017688,
+          -0.0017751,
+          -0.0017725,
+          -0.0017652,
+          -0.0017446,
+          -0.0016865
+        ]
+      }
+    },
+    "max_transition": 5.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.00208
+  },
+  "pin A2": {
+    "capacitance": 0.001901,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.001848,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          0.0017046,
+          0.0017016,
+          0.0016931,
+          0.0016946,
+          0.0016989,
+          0.001711,
+          0.0017449
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          -0.0016863,
+          -0.0016839,
+          -0.0016772,
+          -0.0016787,
+          -0.0016829,
+          -0.0016948,
+          -0.0017282
+        ]
+      }
+    },
+    "max_transition": 5.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.001954
+  },
+  "pin B1": {
+    "capacitance": 0.002068,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002005,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          0.0017855,
+          0.0017834,
+          0.0017775,
+          0.0017778,
+          0.0017788,
+          0.0017816,
+          0.0017894
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          -0.0016125,
+          -0.001615,
+          -0.001622,
+          -0.0016242,
+          -0.0016306,
+          -0.0016487,
+          -0.0016995
+        ]
+      }
+    },
+    "max_transition": 5.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002131
+  },
+  "pin C1": {
+    "capacitance": 0.00204,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.001974,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          0.0016481,
+          0.0016436,
+          0.0016309,
+          0.0016319,
+          0.0016347,
+          0.0016426,
+          0.0016648
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          -0.0015794,
+          -0.0015829,
+          -0.001593,
+          -0.0015942,
+          -0.0015976,
+          -0.0016072,
+          -0.0016343
+        ]
+      }
+    },
+    "max_transition": 5.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002107
+  },
+  "pin D1": {
+    "capacitance": 0.00199,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.001901,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          0.001186,
+          0.0011856,
+          0.0011846,
+          0.0011888,
+          0.0012006,
+          0.0012339,
+          0.0013279
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          -0.0010686,
+          -0.0010679,
+          -0.0010661,
+          -0.0010661,
+          -0.0010662,
+          -0.0010665,
+          -0.0010671
+        ]
+      }
+    },
+    "max_transition": 5.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.00208
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001233881,
+            0.003044926,
+            0.007514155,
+            0.01854315,
+            0.04576009,
+            0.112925
+          ],
+          "values": [
+            [
+              0.0069109,
+              0.0064294,
+              0.0051556,
+              0.0018948,
+              -0.0065564,
+              -0.0283158,
+              -0.0830878
+            ],
+            [
+              0.0068952,
+              0.0064537,
+              0.0051632,
+              0.0018797,
+              -0.0065928,
+              -0.0283165,
+              -0.0830735
+            ],
+            [
+              0.0068626,
+              0.0064017,
+              0.0051334,
+              0.001842,
+              -0.0066296,
+              -0.0283576,
+              -0.083139
+            ],
+            [
+              0.0067508,
+              0.0062911,
+              0.0050308,
+              0.0017255,
+              -0.0067456,
+              -0.0284589,
+              -0.0832338
+            ],
+            [
+              0.0066305,
+              0.0061465,
+              0.004895,
+              0.001612,
+              -0.0068592,
+              -0.0285867,
+              -0.0833623
+            ],
+            [
+              0.0065761,
+              0.0061167,
+              0.0048606,
+              0.0015502,
+              -0.0069195,
+              -0.0286403,
+              -0.0834254
+            ],
+            [
+              0.0064607,
+              0.0059705,
+              0.0047228,
+              0.0014442,
+              -0.0070391,
+              -0.0287633,
+              -0.083537
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001233881,
+            0.003044926,
+            0.007514155,
+            0.01854315,
+            0.04576009,
+            0.112925
+          ],
+          "values": [
+            [
+              0.0065001,
+              0.0071781,
+              0.0087977,
+              0.0126575,
+              0.0218705,
+              0.0441795,
+              0.0988512
+            ],
+            [
+              0.0064927,
+              0.0071861,
+              0.0088368,
+              0.012664,
+              0.0218716,
+              0.0443515,
+              0.0990303
+            ],
+            [
+              0.0064957,
+              0.0071824,
+              0.0087898,
+              0.0126459,
+              0.0219034,
+              0.0440243,
+              0.0988691
+            ],
+            [
+              0.0064828,
+              0.0071655,
+              0.0087724,
+              0.0126128,
+              0.0218415,
+              0.0439828,
+              0.0989078
+            ],
+            [
+              0.0064524,
+              0.0071312,
+              0.0087227,
+              0.0125615,
+              0.0216809,
+              0.0442606,
+              0.0989538
+            ],
+            [
+              0.0064551,
+              0.0071085,
+              0.0086568,
+              0.0124548,
+              0.0214623,
+              0.0438142,
+              0.0986886
+            ],
+            [
+              0.0066799,
+              0.0073095,
+              0.0088181,
+              0.0124639,
+              0.0215081,
+              0.0439149,
+              0.0983797
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001233881,
+            0.003044926,
+            0.007514155,
+            0.01854315,
+            0.04576009,
+            0.112925
+          ],
+          "values": [
+            [
+              0.007996,
+              0.0075316,
+              0.0063062,
+              0.0030112,
+              -0.0054638,
+              -0.0271991,
+              -0.0819659
+            ],
+            [
+              0.0079867,
+              0.0075044,
+              0.0062634,
+              0.0029796,
+              -0.0054815,
+              -0.0272071,
+              -0.0819852
+            ],
+            [
+              0.0079613,
+              0.007476,
+              0.0062251,
+              0.0029504,
+              -0.0055079,
+              -0.0272346,
+              -0.0820111
+            ],
+            [
+              0.0079137,
+              0.0074273,
+              0.0061854,
+              0.002934,
+              -0.0055501,
+              -0.0273378,
+              -0.0820805
+            ],
+            [
+              0.0078415,
+              0.0073496,
+              0.006105,
+              0.002828,
+              -0.0056237,
+              -0.0274032,
+              -0.0821365
+            ],
+            [
+              0.0077871,
+              0.0073096,
+              0.0060632,
+              0.0027823,
+              -0.0056677,
+              -0.0274225,
+              -0.0821805
+            ],
+            [
+              0.0077434,
+              0.0072772,
+              0.0059982,
+              0.0027428,
+              -0.005758,
+              -0.0274823,
+              -0.0822315
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001233881,
+            0.003044926,
+            0.007514155,
+            0.01854315,
+            0.04576009,
+            0.112925
+          ],
+          "values": [
+            [
+              0.0064076,
+              0.0070947,
+              0.0087074,
+              0.012563,
+              0.0217685,
+              0.0440852,
+              0.0987564
+            ],
+            [
+              0.0063995,
+              0.0070778,
+              0.0086973,
+              0.0125506,
+              0.0217664,
+              0.0440546,
+              0.0987409
+            ],
+            [
+              0.0063759,
+              0.0070708,
+              0.0087145,
+              0.0125443,
+              0.0218441,
+              0.0440931,
+              0.0986694
+            ],
+            [
+              0.006361,
+              0.0070357,
+              0.0086506,
+              0.0125291,
+              0.0217175,
+              0.0438476,
+              0.0987515
+            ],
+            [
+              0.0063678,
+              0.0070422,
+              0.0086695,
+              0.012481,
+              0.0217759,
+              0.0439086,
+              0.0987428
+            ],
+            [
+              0.0063471,
+              0.0070167,
+              0.0085873,
+              0.0124411,
+              0.0215971,
+              0.043905,
+              0.098659
+            ],
+            [
+              0.0066676,
+              0.0073076,
+              0.0088219,
+              0.0124749,
+              0.021591,
+              0.0439026,
+              0.098788
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001233881,
+            0.003044926,
+            0.007514155,
+            0.01854315,
+            0.04576009,
+            0.112925
+          ],
+          "values": [
+            [
+              0.0073601,
+              0.0068853,
+              0.0056333,
+              0.0023588,
+              -0.0061172,
+              -0.0278574,
+              -0.0826061
+            ],
+            [
+              0.0073376,
+              0.006844,
+              0.0056092,
+              0.0023305,
+              -0.0061295,
+              -0.0278684,
+              -0.0826462
+            ],
+            [
+              0.0072916,
+              0.0068002,
+              0.0055582,
+              0.0022785,
+              -0.0061787,
+              -0.0279107,
+              -0.0826756
+            ],
+            [
+              0.007216,
+              0.0067373,
+              0.0054785,
+              0.0022387,
+              -0.0062552,
+              -0.027979,
+              -0.0827772
+            ],
+            [
+              0.0071489,
+              0.0066589,
+              0.0054173,
+              0.002133,
+              -0.0063158,
+              -0.0280912,
+              -0.0828273
+            ],
+            [
+              0.0070929,
+              0.0066025,
+              0.0053455,
+              0.0020796,
+              -0.0063828,
+              -0.0281099,
+              -0.0828853
+            ],
+            [
+              0.0070582,
+              0.0065846,
+              0.0053357,
+              0.0020536,
+              -0.0063967,
+              -0.0281784,
+              -0.0829083
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001233881,
+            0.003044926,
+            0.007514155,
+            0.01854315,
+            0.04576009,
+            0.112925
+          ],
+          "values": [
+            [
+              0.0059951,
+              0.0066446,
+              0.0082291,
+              0.0119868,
+              0.0211178,
+              0.043199,
+              0.0978758
+            ],
+            [
+              0.005999,
+              0.0066571,
+              0.0082218,
+              0.0120253,
+              0.0210637,
+              0.0430332,
+              0.0978638
+            ],
+            [
+              0.0060002,
+              0.0066655,
+              0.0082219,
+              0.0119774,
+              0.0210624,
+              0.0432562,
+              0.0978445
+            ],
+            [
+              0.0059808,
+              0.0066375,
+              0.0082064,
+              0.0119565,
+              0.0211259,
+              0.0432352,
+              0.0978447
+            ],
+            [
+              0.0059739,
+              0.0066109,
+              0.0081656,
+              0.0118498,
+              0.0209778,
+              0.0429296,
+              0.0974755
+            ],
+            [
+              0.0059768,
+              0.0065824,
+              0.0080762,
+              0.0118192,
+              0.020833,
+              0.0431095,
+              0.097723
+            ],
+            [
+              0.0060932,
+              0.0066793,
+              0.0082437,
+              0.0118866,
+              0.020825,
+              0.0430059,
+              0.0972814
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001233881,
+            0.003044926,
+            0.007514155,
+            0.01854315,
+            0.04576009,
+            0.112925
+          ],
+          "values": [
+            [
+              0.0068112,
+              0.0063341,
+              0.0050826,
+              0.0018184,
+              -0.0066654,
+              -0.0284081,
+              -0.0831594
+            ],
+            [
+              0.0067882,
+              0.0063055,
+              0.0050631,
+              0.0017778,
+              -0.0066833,
+              -0.0284479,
+              -0.0831907
+            ],
+            [
+              0.0067371,
+              0.0062636,
+              0.0050308,
+              0.0017506,
+              -0.0067079,
+              -0.0284599,
+              -0.083225
+            ],
+            [
+              0.0066727,
+              0.0061898,
+              0.0049577,
+              0.0016737,
+              -0.0068005,
+              -0.0285336,
+              -0.0833024
+            ],
+            [
+              0.006613,
+              0.0061235,
+              0.0048796,
+              0.0015986,
+              -0.0068623,
+              -0.0285889,
+              -0.0833657
+            ],
+            [
+              0.0065334,
+              0.0060595,
+              0.0048232,
+              0.0015387,
+              -0.0069025,
+              -0.0286755,
+              -0.0834332
+            ],
+            [
+              0.0065074,
+              0.0060293,
+              0.0047825,
+              0.0015039,
+              -0.0069529,
+              -0.0287365,
+              -0.0834669
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001233881,
+            0.003044926,
+            0.007514155,
+            0.01854315,
+            0.04576009,
+            0.112925
+          ],
+          "values": [
+            [
+              0.0058281,
+              0.006462,
+              0.0080123,
+              0.0117311,
+              0.0207409,
+              0.0426822,
+              0.0975681
+            ],
+            [
+              0.0058314,
+              0.006465,
+              0.0080202,
+              0.0117446,
+              0.020831,
+              0.042886,
+              0.0974013
+            ],
+            [
+              0.0058418,
+              0.0064794,
+              0.0080254,
+              0.0117118,
+              0.0208023,
+              0.0427488,
+              0.0971929
+            ],
+            [
+              0.0058173,
+              0.0064573,
+              0.007992,
+              0.01168,
+              0.0207443,
+              0.0427299,
+              0.0972058
+            ],
+            [
+              0.0057912,
+              0.0064229,
+              0.0079308,
+              0.0116996,
+              0.0206136,
+              0.0427727,
+              0.0974299
+            ],
+            [
+              0.0057515,
+              0.0063425,
+              0.0078199,
+              0.011519,
+              0.0205637,
+              0.0429517,
+              0.097265
+            ],
+            [
+              0.0056918,
+              0.0063363,
+              0.0078718,
+              0.0115759,
+              0.0203743,
+              0.0425948,
+              0.0969807
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001233881,
+            0.003044926,
+            0.007514155,
+            0.01854315,
+            0.04576009,
+            0.112925
+          ],
+          "values": [
+            [
+              0.0068092,
+              0.006331,
+              0.0050967,
+              0.0018156,
+              -0.0066506,
+              -0.0283998,
+              -0.0831675
+            ],
+            [
+              0.0067675,
+              0.0062903,
+              0.005054,
+              0.0017748,
+              -0.0066859,
+              -0.0284498,
+              -0.0832119
+            ],
+            [
+              0.0067114,
+              0.0062316,
+              0.0049921,
+              0.0017212,
+              -0.0067404,
+              -0.0284845,
+              -0.0832611
+            ],
+            [
+              0.0066121,
+              0.0061421,
+              0.0048904,
+              0.0016094,
+              -0.0068411,
+              -0.0285933,
+              -0.0833642
+            ],
+            [
+              0.0065391,
+              0.006034,
+              0.0047796,
+              0.0015204,
+              -0.0069501,
+              -0.0286873,
+              -0.0834227
+            ],
+            [
+              0.0064207,
+              0.0059411,
+              0.0047012,
+              0.0014323,
+              -0.0070338,
+              -0.0287849,
+              -0.0835583
+            ],
+            [
+              0.0062842,
+              0.0058132,
+              0.0045914,
+              0.0012999,
+              -0.0071686,
+              -0.0289397,
+              -0.0836931
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001233881,
+            0.003044926,
+            0.007514155,
+            0.01854315,
+            0.04576009,
+            0.112925
+          ],
+          "values": [
+            [
+              0.0049215,
+              0.005533,
+              0.0070257,
+              0.0106672,
+              0.0196308,
+              0.0416818,
+              0.0962569
+            ],
+            [
+              0.0049238,
+              0.00554,
+              0.0070406,
+              0.0106866,
+              0.0196681,
+              0.0418555,
+              0.0961675
+            ],
+            [
+              0.0049153,
+              0.0055346,
+              0.007031,
+              0.0106933,
+              0.019642,
+              0.0417972,
+              0.0962283
+            ],
+            [
+              0.0048682,
+              0.005481,
+              0.0070131,
+              0.0106525,
+              0.0197084,
+              0.0417061,
+              0.0963135
+            ],
+            [
+              0.0047905,
+              0.0054142,
+              0.0069018,
+              0.0105445,
+              0.0195604,
+              0.0416157,
+              0.0962841
+            ],
+            [
+              0.0047168,
+              0.0053082,
+              0.0067555,
+              0.0104238,
+              0.0194765,
+              0.0416191,
+              0.0960627
+            ],
+            [
+              0.0045652,
+              0.0052108,
+              0.006725,
+              0.0104229,
+              0.0192034,
+              0.0415394,
+              0.0958879
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.112925,
+    "max_transition": 5.001409,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123388,
+            0.00304493,
+            0.00751415,
+            0.0185431,
+            0.0457601,
+            0.112925
+          ],
+          "values": [
+            [
+              3.3738856,
+              3.4233991,
+              3.5124956,
+              3.6559882,
+              3.8822492,
+              4.2569148,
+              4.9320419
+            ],
+            [
+              3.3803669,
+              3.4311103,
+              3.5206069,
+              3.6664651,
+              3.8871555,
+              4.2630695,
+              4.9372186
+            ],
+            [
+              3.3993437,
+              3.4504324,
+              3.5388124,
+              3.6821188,
+              3.90312,
+              4.2685659,
+              4.9538462
+            ],
+            [
+              3.4655721,
+              3.516079,
+              3.6047536,
+              3.7475503,
+              3.9741108,
+              4.3476991,
+              5.0228879
+            ],
+            [
+              3.7190335,
+              3.7691588,
+              3.8597952,
+              4.0032044,
+              4.2242685,
+              4.6020432,
+              5.2777041
+            ],
+            [
+              4.5046984,
+              4.5553077,
+              4.6438253,
+              4.7870414,
+              5.0131699,
+              5.3870122,
+              6.0624674
+            ],
+            [
+              6.6503878,
+              6.7012422,
+              6.7911916,
+              6.9341493,
+              7.1554629,
+              7.5344859,
+              8.2099287
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123388,
+            0.00304493,
+            0.00751415,
+            0.0185431,
+            0.0457601,
+            0.112925
+          ],
+          "values": [
+            [
+              0.32346,
+              0.3516971,
+              0.4169264,
+              0.5698372,
+              0.9344026,
+              1.8189521,
+              3.9827754
+            ],
+            [
+              0.3327442,
+              0.3607439,
+              0.4260161,
+              0.578718,
+              0.9431667,
+              1.8264302,
+              3.9905004
+            ],
+            [
+              0.3620421,
+              0.3902026,
+              0.4553226,
+              0.6081555,
+              0.9730592,
+              1.8566255,
+              4.0199724
+            ],
+            [
+              0.4438712,
+              0.4718791,
+              0.5366921,
+              0.6891778,
+              1.0542829,
+              1.9363995,
+              4.0977031
+            ],
+            [
+              0.6763935,
+              0.703701,
+              0.767788,
+              0.919113,
+              1.2815082,
+              2.1643773,
+              4.3294643
+            ],
+            [
+              1.2811827,
+              1.3090471,
+              1.3736497,
+              1.5239658,
+              1.8854058,
+              2.7669758,
+              4.9321081
+            ],
+            [
+              2.65947,
+              2.6905758,
+              2.7567177,
+              2.906694,
+              3.2652892,
+              4.1478723,
+              6.3145462
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123388,
+            0.00304493,
+            0.00751415,
+            0.0185431,
+            0.0457601,
+            0.112925
+          ],
+          "values": [
+            [
+              0.2887522,
+              0.309443,
+              0.345284,
+              0.4131719,
+              0.5451004,
+              0.8390554,
+              1.5153991
+            ],
+            [
+              0.288793,
+              0.3103369,
+              0.3429596,
+              0.4096577,
+              0.5477242,
+              0.840027,
+              1.5167149
+            ],
+            [
+              0.2889513,
+              0.3107432,
+              0.3429274,
+              0.4125749,
+              0.5503965,
+              0.846801,
+              1.518322
+            ],
+            [
+              0.2889343,
+              0.3101515,
+              0.3432043,
+              0.4117997,
+              0.5458187,
+              0.8403417,
+              1.515434
+            ],
+            [
+              0.2904211,
+              0.3087612,
+              0.3436048,
+              0.4109103,
+              0.5493654,
+              0.8378732,
+              1.5152319
+            ],
+            [
+              0.2889395,
+              0.31031,
+              0.3418347,
+              0.4113945,
+              0.5456337,
+              0.839868,
+              1.5153506
+            ],
+            [
+              0.2882558,
+              0.3077761,
+              0.3435043,
+              0.4111982,
+              0.5497735,
+              0.8350061,
+              1.5149214
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123388,
+            0.00304493,
+            0.00751415,
+            0.0185431,
+            0.0457601,
+            0.112925
+          ],
+          "values": [
+            [
+              0.1005264,
+              0.1321211,
+              0.2109641,
+              0.4062272,
+              0.8836994,
+              2.0638036,
+              4.9640487
+            ],
+            [
+              0.1008637,
+              0.1332419,
+              0.2127942,
+              0.4051107,
+              0.8912963,
+              2.083635,
+              5.0014086
+            ],
+            [
+              0.1007863,
+              0.1319386,
+              0.2105984,
+              0.4059033,
+              0.8836404,
+              2.0649438,
+              4.9677382
+            ],
+            [
+              0.1003366,
+              0.1314434,
+              0.210137,
+              0.4058046,
+              0.8842573,
+              2.070472,
+              4.9724265
+            ],
+            [
+              0.0982002,
+              0.1310063,
+              0.2088288,
+              0.4049394,
+              0.884594,
+              2.0835742,
+              5.0006639
+            ],
+            [
+              0.1029935,
+              0.1338173,
+              0.2099413,
+              0.4018896,
+              0.8782938,
+              2.0637996,
+              4.9716729
+            ],
+            [
+              0.1207306,
+              0.1507016,
+              0.2219347,
+              0.4059725,
+              0.8838232,
+              2.073252,
+              4.9591047
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123388,
+            0.00304493,
+            0.00751415,
+            0.0185431,
+            0.0457601,
+            0.112925
+          ],
+          "values": [
+            [
+              3.5339893,
+              3.5825491,
+              3.6707923,
+              3.8124308,
+              4.0361119,
+              4.405095,
+              5.0833884
+            ],
+            [
+              3.5359078,
+              3.5854604,
+              3.6729322,
+              3.8152619,
+              4.0349915,
+              4.4072519,
+              5.0857456
+            ],
+            [
+              3.5575074,
+              3.6073011,
+              3.6951278,
+              3.8372034,
+              4.0566843,
+              4.4291588,
+              5.1074518
+            ],
+            [
+              3.6401886,
+              3.6899879,
+              3.7784176,
+              3.922088,
+              4.1436827,
+              4.5104209,
+              5.190981
+            ],
+            [
+              3.9050048,
+              3.9546508,
+              4.0430383,
+              4.1845976,
+              4.4080748,
+              4.7727085,
+              5.4553091
+            ],
+            [
+              4.6672954,
+              4.7171551,
+              4.8060467,
+              4.9481321,
+              5.169067,
+              5.5393961,
+              6.2175358
+            ],
+            [
+              6.7146519,
+              6.765307,
+              6.8537083,
+              6.998321,
+              7.2128854,
+              7.5864133,
+              8.263882
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123388,
+            0.00304493,
+            0.00751415,
+            0.0185431,
+            0.0457601,
+            0.112925
+          ],
+          "values": [
+            [
+              0.3313673,
+              0.3595295,
+              0.4246358,
+              0.5774218,
+              0.9417195,
+              1.8266361,
+              3.9906762
+            ],
+            [
+              0.3425658,
+              0.370784,
+              0.4358891,
+              0.5885861,
+              0.9529638,
+              1.8375783,
+              4.001393
+            ],
+            [
+              0.3741657,
+              0.4021156,
+              0.4670382,
+              0.6194819,
+              0.9838317,
+              1.868379,
+              4.0331876
+            ],
+            [
+              0.4586351,
+              0.486681,
+              0.5514769,
+              0.7038037,
+              1.0680895,
+              1.9526145,
+              4.1156936
+            ],
+            [
+              0.6933027,
+              0.7209442,
+              0.7849476,
+              0.936386,
+              1.3003534,
+              2.1856612,
+              4.3507451
+            ],
+            [
+              1.3183892,
+              1.3465103,
+              1.4105224,
+              1.5603597,
+              1.9245578,
+              2.8067464,
+              4.9732511
+            ],
+            [
+              2.8239195,
+              2.8540588,
+              2.920814,
+              3.0716154,
+              3.4342118,
+              4.3129704,
+              6.4745791
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123388,
+            0.00304493,
+            0.00751415,
+            0.0185431,
+            0.0457601,
+            0.112925
+          ],
+          "values": [
+            [
+              0.2872185,
+              0.3042267,
+              0.3436078,
+              0.4090159,
+              0.5408254,
+              0.8310356,
+              1.5058604
+            ],
+            [
+              0.2857529,
+              0.3041515,
+              0.3414154,
+              0.4080492,
+              0.5464231,
+              0.8360301,
+              1.5052001
+            ],
+            [
+              0.2858793,
+              0.3047519,
+              0.3433898,
+              0.407453,
+              0.5465697,
+              0.8363454,
+              1.5051173
+            ],
+            [
+              0.2864733,
+              0.3059062,
+              0.3422988,
+              0.4064934,
+              0.5436752,
+              0.8267194,
+              1.4984852
+            ],
+            [
+              0.2864504,
+              0.3061432,
+              0.3423914,
+              0.4085598,
+              0.5447811,
+              0.8285982,
+              1.5058423
+            ],
+            [
+              0.2882158,
+              0.3056559,
+              0.3410982,
+              0.4066967,
+              0.5459576,
+              0.8305572,
+              1.504625
+            ],
+            [
+              0.2856916,
+              0.3034621,
+              0.3382871,
+              0.4057895,
+              0.5453775,
+              0.8334638,
+              1.5086731
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123388,
+            0.00304493,
+            0.00751415,
+            0.0185431,
+            0.0457601,
+            0.112925
+          ],
+          "values": [
+            [
+              0.1002149,
+              0.1335208,
+              0.210781,
+              0.4062712,
+              0.8834535,
+              2.0633615,
+              4.9643094
+            ],
+            [
+              0.1004521,
+              0.1318452,
+              0.2106943,
+              0.4057931,
+              0.8835028,
+              2.0640143,
+              4.9634443
+            ],
+            [
+              0.0994947,
+              0.1329494,
+              0.2129396,
+              0.4048059,
+              0.8954498,
+              2.0600539,
+              4.9721187
+            ],
+            [
+              0.0997387,
+              0.1313015,
+              0.2100138,
+              0.4049809,
+              0.8832157,
+              2.0644886,
+              4.9670184
+            ],
+            [
+              0.0987623,
+              0.1318468,
+              0.2119563,
+              0.4033009,
+              0.8961915,
+              2.0597328,
+              4.9620179
+            ],
+            [
+              0.1026857,
+              0.1334793,
+              0.2091402,
+              0.4046516,
+              0.8877565,
+              2.0583466,
+              4.9605888
+            ],
+            [
+              0.1165503,
+              0.1469236,
+              0.2205955,
+              0.4067152,
+              0.887168,
+              2.0702822,
+              4.9948419
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123388,
+            0.00304493,
+            0.00751415,
+            0.0185431,
+            0.0457601,
+            0.112925
+          ],
+          "values": [
+            [
+              3.4645575,
+              3.51454,
+              3.6024193,
+              3.7444785,
+              3.9635246,
+              4.3362016,
+              5.0147357
+            ],
+            [
+              3.4617962,
+              3.5113075,
+              3.5998138,
+              3.7421244,
+              3.9610901,
+              4.3353485,
+              5.0098623
+            ],
+            [
+              3.4690695,
+              3.5187115,
+              3.6072376,
+              3.749475,
+              3.9690944,
+              4.3396073,
+              5.0197242
+            ],
+            [
+              3.5344661,
+              3.5841987,
+              3.6726779,
+              3.8150887,
+              4.0350669,
+              4.4091002,
+              5.0858486
+            ],
+            [
+              3.7838253,
+              3.8331858,
+              3.9217345,
+              4.0644963,
+              4.2865578,
+              4.6566826,
+              5.3348939
+            ],
+            [
+              4.5457225,
+              4.5952837,
+              4.6836184,
+              4.8253055,
+              5.0444866,
+              5.4169802,
+              6.0955023
+            ],
+            [
+              6.665573,
+              6.715088,
+              6.8030666,
+              6.9450787,
+              7.1673769,
+              7.5366859,
+              8.2152916
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123388,
+            0.00304493,
+            0.00751415,
+            0.0185431,
+            0.0457601,
+            0.112925
+          ],
+          "values": [
+            [
+              0.2170239,
+              0.2432369,
+              0.3048897,
+              0.4525514,
+              0.8090814,
+              1.6873363,
+              3.847044
+            ],
+            [
+              0.2279009,
+              0.2540282,
+              0.316176,
+              0.4634013,
+              0.821735,
+              1.69785,
+              3.850738
+            ],
+            [
+              0.2583143,
+              0.2842595,
+              0.346107,
+              0.4934002,
+              0.851608,
+              1.7293869,
+              3.8866967
+            ],
+            [
+              0.3446378,
+              0.3704207,
+              0.4314407,
+              0.5789818,
+              0.9362604,
+              1.8151458,
+              3.9749324
+            ],
+            [
+              0.587381,
+              0.6129069,
+              0.6739434,
+              0.8207726,
+              1.1785654,
+              2.0560964,
+              4.2177244
+            ],
+            [
+              1.170042,
+              1.1963497,
+              1.257931,
+              1.4052091,
+              1.7628289,
+              2.6407427,
+              4.802192
+            ],
+            [
+              2.5091063,
+              2.5396156,
+              2.6047615,
+              2.7523155,
+              3.1113514,
+              3.9864774,
+              6.1497132
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123388,
+            0.00304493,
+            0.00751415,
+            0.0185431,
+            0.0457601,
+            0.112925
+          ],
+          "values": [
+            [
+              0.2858103,
+              0.304276,
+              0.3412179,
+              0.4075587,
+              0.5466125,
+              0.8362261,
+              1.5052236
+            ],
+            [
+              0.2859666,
+              0.304941,
+              0.3417206,
+              0.4075239,
+              0.5483513,
+              0.8361597,
+              1.5035714
+            ],
+            [
+              0.2859653,
+              0.305049,
+              0.3417785,
+              0.4071611,
+              0.5500338,
+              0.8400343,
+              1.5089051
+            ],
+            [
+              0.2862606,
+              0.3061325,
+              0.3423333,
+              0.4072207,
+              0.5499219,
+              0.83726,
+              1.4992232
+            ],
+            [
+              0.2862884,
+              0.3062749,
+              0.3422407,
+              0.4073542,
+              0.5460384,
+              0.8302641,
+              1.5045236
+            ],
+            [
+              0.2858106,
+              0.3047831,
+              0.3433942,
+              0.4080427,
+              0.5463018,
+              0.8357717,
+              1.5051536
+            ],
+            [
+              0.2861829,
+              0.3040476,
+              0.3414274,
+              0.4073021,
+              0.5452198,
+              0.8296023,
+              1.5052395
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123388,
+            0.00304493,
+            0.00751415,
+            0.0185431,
+            0.0457601,
+            0.112925
+          ],
+          "values": [
+            [
+              0.0897801,
+              0.1201318,
+              0.1981029,
+              0.3917597,
+              0.8801983,
+              2.0462994,
+              4.9477338
+            ],
+            [
+              0.0897082,
+              0.1207479,
+              0.1994734,
+              0.3962949,
+              0.8692629,
+              2.0576945,
+              4.9631002
+            ],
+            [
+              0.0887218,
+              0.121323,
+              0.1984989,
+              0.3952731,
+              0.8686618,
+              2.0504716,
+              4.9560946
+            ],
+            [
+              0.0883658,
+              0.1200212,
+              0.1976877,
+              0.3913274,
+              0.8798557,
+              2.046348,
+              4.9493778
+            ],
+            [
+              0.0890049,
+              0.1192927,
+              0.1978092,
+              0.3910834,
+              0.8744593,
+              2.045377,
+              4.9474553
+            ],
+            [
+              0.0959035,
+              0.1252858,
+              0.2006878,
+              0.3923164,
+              0.8710815,
+              2.0464166,
+              4.949519
+            ],
+            [
+              0.121844,
+              0.1488813,
+              0.2172208,
+              0.3998712,
+              0.8716328,
+              2.0467554,
+              4.9492366
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123388,
+            0.00304493,
+            0.00751415,
+            0.0185431,
+            0.0457601,
+            0.112925
+          ],
+          "values": [
+            [
+              3.2579119,
+              3.3076013,
+              3.3952434,
+              3.5366532,
+              3.7560759,
+              4.1290282,
+              4.8076281
+            ],
+            [
+              3.2559146,
+              3.3055285,
+              3.3932979,
+              3.5354286,
+              3.7540118,
+              4.1271666,
+              4.8057571
+            ],
+            [
+              3.2626841,
+              3.3122447,
+              3.4007794,
+              3.5437664,
+              3.7659476,
+              4.1349315,
+              4.8133222
+            ],
+            [
+              3.3220724,
+              3.3717777,
+              3.4601011,
+              3.603005,
+              3.8250079,
+              4.1939097,
+              4.8724123
+            ],
+            [
+              3.554647,
+              3.6047907,
+              3.6936609,
+              3.835313,
+              4.0548218,
+              4.4276804,
+              5.1061466
+            ],
+            [
+              4.2916777,
+              4.3412118,
+              4.4295114,
+              4.5688702,
+              4.793431,
+              5.1620326,
+              5.8414846
+            ],
+            [
+              6.3924892,
+              6.4418475,
+              6.5305783,
+              6.672082,
+              6.8948212,
+              7.263588,
+              7.9423312
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123388,
+            0.00304493,
+            0.00751415,
+            0.0185431,
+            0.0457601,
+            0.112925
+          ],
+          "values": [
+            [
+              0.2118127,
+              0.2374402,
+              0.2982849,
+              0.4453698,
+              0.8008466,
+              1.6748087,
+              3.8283607
+            ],
+            [
+              0.2228856,
+              0.2486246,
+              0.3094297,
+              0.4559293,
+              0.8114893,
+              1.6893387,
+              3.8452312
+            ],
+            [
+              0.252819,
+              0.2784182,
+              0.3391759,
+              0.4859014,
+              0.842055,
+              1.7162551,
+              3.8692294
+            ],
+            [
+              0.3401611,
+              0.3655534,
+              0.4259982,
+              0.5727092,
+              0.9294845,
+              1.8043457,
+              3.956552
+            ],
+            [
+              0.580148,
+              0.6053608,
+              0.6659778,
+              0.8129031,
+              1.1683913,
+              2.0452784,
+              4.2067474
+            ],
+            [
+              1.1558016,
+              1.1821196,
+              1.2432708,
+              1.3897522,
+              1.7459615,
+              2.6212392,
+              4.7825841
+            ],
+            [
+              2.4768747,
+              2.5077613,
+              2.5731821,
+              2.7203384,
+              3.076823,
+              3.9523655,
+              6.1078471
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123388,
+            0.00304493,
+            0.00751415,
+            0.0185431,
+            0.0457601,
+            0.112925
+          ],
+          "values": [
+            [
+              0.2857413,
+              0.3042224,
+              0.3413803,
+              0.4096605,
+              0.5476267,
+              0.8292572,
+              1.5055541
+            ],
+            [
+              0.2857713,
+              0.3041569,
+              0.3413784,
+              0.4074232,
+              0.5478359,
+              0.829578,
+              1.5038835
+            ],
+            [
+              0.2867425,
+              0.3068413,
+              0.3395348,
+              0.4091728,
+              0.5407897,
+              0.8320252,
+              1.5047903
+            ],
+            [
+              0.2864222,
+              0.3068388,
+              0.3395463,
+              0.4091503,
+              0.5407862,
+              0.832095,
+              1.5048527
+            ],
+            [
+              0.2856605,
+              0.3059483,
+              0.3414983,
+              0.4091594,
+              0.5476665,
+              0.8358381,
+              1.5052746
+            ],
+            [
+              0.2868595,
+              0.3071337,
+              0.3396864,
+              0.4082445,
+              0.5423453,
+              0.8326595,
+              1.5058257
+            ],
+            [
+              0.2862403,
+              0.3041205,
+              0.3399578,
+              0.4072435,
+              0.5452409,
+              0.8294668,
+              1.5040528
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123388,
+            0.00304493,
+            0.00751415,
+            0.0185431,
+            0.0457601,
+            0.112925
+          ],
+          "values": [
+            [
+              0.0877853,
+              0.1185336,
+              0.1955612,
+              0.3908653,
+              0.8662801,
+              2.0526407,
+              4.9674904
+            ],
+            [
+              0.0877483,
+              0.1178852,
+              0.1955455,
+              0.388927,
+              0.8796623,
+              2.0481485,
+              4.9559556
+            ],
+            [
+              0.0877564,
+              0.1183253,
+              0.1954776,
+              0.3899137,
+              0.8660743,
+              2.0524671,
+              4.9689395
+            ],
+            [
+              0.0868237,
+              0.1182749,
+              0.1954964,
+              0.3900865,
+              0.8662097,
+              2.0557978,
+              4.9723385
+            ],
+            [
+              0.0879736,
+              0.1194082,
+              0.1955918,
+              0.3948122,
+              0.8692489,
+              2.0421618,
+              4.9466617
+            ],
+            [
+              0.0958262,
+              0.1253912,
+              0.1992846,
+              0.392694,
+              0.8670846,
+              2.0709308,
+              4.9686393
+            ],
+            [
+              0.1240269,
+              0.1506994,
+              0.2180923,
+              0.4001164,
+              0.8701019,
+              2.0451143,
+              4.9720112
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123388,
+            0.00304493,
+            0.00751415,
+            0.0185431,
+            0.0457601,
+            0.112925
+          ],
+          "values": [
+            [
+              2.9535858,
+              3.0030971,
+              3.0918068,
+              3.2340461,
+              3.4569481,
+              3.8260182,
+              4.5041899
+            ],
+            [
+              2.9488438,
+              2.9986773,
+              3.0875056,
+              3.2290144,
+              3.4507182,
+              3.8213646,
+              4.4984558
+            ],
+            [
+              2.9480931,
+              2.9977711,
+              3.0867016,
+              3.2292049,
+              3.4481198,
+              3.8211576,
+              4.5002375
+            ],
+            [
+              2.9869105,
+              3.0362384,
+              3.1244647,
+              3.2664047,
+              3.4876111,
+              3.8657788,
+              4.5441682
+            ],
+            [
+              3.188138,
+              3.2385796,
+              3.3270018,
+              3.4691396,
+              3.6867036,
+              4.059769,
+              4.7404027
+            ],
+            [
+              3.8705488,
+              3.9202344,
+              4.0083501,
+              4.1498622,
+              4.3727932,
+              4.7436814,
+              5.4183111
+            ],
+            [
+              5.80139,
+              5.8506573,
+              5.9374523,
+              6.0803593,
+              6.3037575,
+              6.6726287,
+              7.3511317
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123388,
+            0.00304493,
+            0.00751415,
+            0.0185431,
+            0.0457601,
+            0.112925
+          ],
+          "values": [
+            [
+              0.201968,
+              0.2273225,
+              0.2872332,
+              0.4323487,
+              0.7857852,
+              1.6600555,
+              3.8217252
+            ],
+            [
+              0.2130537,
+              0.2383549,
+              0.2987825,
+              0.4440559,
+              0.7979291,
+              1.6712652,
+              3.8246988
+            ],
+            [
+              0.2438519,
+              0.2691451,
+              0.329434,
+              0.4751096,
+              0.8297662,
+              1.7025371,
+              3.8584105
+            ],
+            [
+              0.3304269,
+              0.3556235,
+              0.4156929,
+              0.5613105,
+              0.9174482,
+              1.790279,
+              3.9564125
+            ],
+            [
+              0.5700025,
+              0.5948919,
+              0.6550752,
+              0.8007935,
+              1.1587991,
+              2.0320414,
+              4.1853849
+            ],
+            [
+              1.1371558,
+              1.1632522,
+              1.2240059,
+              1.3703281,
+              1.7255002,
+              2.6004328,
+              4.7667612
+            ],
+            [
+              2.4405682,
+              2.4726827,
+              2.5382099,
+              2.6852056,
+              3.0409555,
+              3.9259809,
+              6.0747452
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123388,
+            0.00304493,
+            0.00751415,
+            0.0185431,
+            0.0457601,
+            0.112925
+          ],
+          "values": [
+            [
+              0.2863737,
+              0.3060497,
+              0.3392713,
+              0.4079997,
+              0.5408833,
+              0.831681,
+              1.5048602
+            ],
+            [
+              0.28639,
+              0.3057447,
+              0.3407227,
+              0.4072211,
+              0.5423316,
+              0.8352312,
+              1.499687
+            ],
+            [
+              0.2854175,
+              0.3057943,
+              0.3415169,
+              0.4087882,
+              0.5490017,
+              0.8367557,
+              1.5047819
+            ],
+            [
+              0.2850903,
+              0.3062249,
+              0.3429058,
+              0.4092433,
+              0.5428003,
+              0.8324259,
+              1.5057389
+            ],
+            [
+              0.2856759,
+              0.3034855,
+              0.3384289,
+              0.4085866,
+              0.5459499,
+              0.8349124,
+              1.5078599
+            ],
+            [
+              0.2865071,
+              0.3040078,
+              0.3388981,
+              0.4079435,
+              0.5402385,
+              0.8330531,
+              1.5045841
+            ],
+            [
+              0.2866916,
+              0.3062407,
+              0.341557,
+              0.4082359,
+              0.5452147,
+              0.8304115,
+              1.5049277
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123388,
+            0.00304493,
+            0.00751415,
+            0.0185431,
+            0.0457601,
+            0.112925
+          ],
+          "values": [
+            [
+              0.0858005,
+              0.1166945,
+              0.1942213,
+              0.3885666,
+              0.8646181,
+              2.0438446,
+              4.963808
+            ],
+            [
+              0.0857258,
+              0.1170212,
+              0.1947175,
+              0.3876458,
+              0.8652577,
+              2.0448336,
+              4.9516267
+            ],
+            [
+              0.0856578,
+              0.117025,
+              0.1949127,
+              0.3876183,
+              0.8678273,
+              2.0472746,
+              4.9483115
+            ],
+            [
+              0.0866703,
+              0.1168212,
+              0.1979124,
+              0.3938056,
+              0.8741984,
+              2.0590751,
+              4.9541078
+            ],
+            [
+              0.0874126,
+              0.1185507,
+              0.1946912,
+              0.389328,
+              0.8690122,
+              2.0527469,
+              4.9470152
+            ],
+            [
+              0.0956423,
+              0.1248555,
+              0.1989856,
+              0.3916631,
+              0.8661791,
+              2.0454551,
+              4.9425775
+            ],
+            [
+              0.1260259,
+              0.1529041,
+              0.2192788,
+              0.4012891,
+              0.869774,
+              2.0508237,
+              4.9495426
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_n40C_1v35.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_n40C_1v35.lib.json
new file mode 100644
index 0000000..fd188cb
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_n40C_1v35.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 4.34523e-05,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 5.5220223e-05,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 9.0902898e-05,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 5.4832946e-05,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.5796321e-05,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 5.4877249e-05,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 1.7972887e-05,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 5.4832861e-05,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 1.5751216e-05,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 5.5220223e-05,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 9.9046741e-05,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 5.4832946e-05,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.5796321e-05,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 5.4877252e-05,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 1.7972887e-05,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 5.4832861e-05,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 1.5751216e-05,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 5.5220223e-05,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 9.7560225e-05,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 5.4832946e-05,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.5796319e-05,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 5.4877252e-05,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 1.7972887e-05,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 5.4832861e-05,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 1.575121e-05,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 5.9008781e-05,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 2.2215965e-05,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 5.4829496e-05,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.5709956e-05,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 5.488642e-05,
+      "when": "A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 1.7879149e-05,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 5.4832786e-05,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 1.5749985e-05,
+      "when": "A1&A2&B1&C1&!D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.0021,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002022,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          0.0022527,
+          0.0022484,
+          0.0022348,
+          0.0022367,
+          0.0022429,
+          0.0022624,
+          0.002324
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          -0.0020064,
+          -0.0020096,
+          -0.0020197,
+          -0.0020168,
+          -0.0020079,
+          -0.0019794,
+          -0.0018895
+        ]
+      }
+    },
+    "max_transition": 10.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002178
+  },
+  "pin A2": {
+    "capacitance": 0.001967,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.001896,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          0.0019611,
+          0.0019565,
+          0.0019419,
+          0.0019431,
+          0.0019469,
+          0.0019587,
+          0.0019963
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          -0.0019392,
+          -0.0019374,
+          -0.0019314,
+          -0.0019323,
+          -0.0019351,
+          -0.0019439,
+          -0.0019719
+        ]
+      }
+    },
+    "max_transition": 10.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002038
+  },
+  "pin B1": {
+    "capacitance": 0.002138,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002046,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          0.0020496,
+          0.0020467,
+          0.0020378,
+          0.0020383,
+          0.0020398,
+          0.0020446,
+          0.0020597
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          -0.001823,
+          -0.0018286,
+          -0.0018463,
+          -0.0018487,
+          -0.0018563,
+          -0.0018804,
+          -0.0019563
+        ]
+      }
+    },
+    "max_transition": 10.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002231
+  },
+  "pin C1": {
+    "capacitance": 0.002109,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002013,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          0.0019064,
+          0.0019008,
+          0.0018833,
+          0.0018839,
+          0.0018859,
+          0.0018923,
+          0.0019123
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          -0.0018082,
+          -0.0018137,
+          -0.0018313,
+          -0.0018323,
+          -0.0018356,
+          -0.0018459,
+          -0.0018784
+        ]
+      }
+    },
+    "max_transition": 10.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002205
+  },
+  "pin D1": {
+    "capacitance": 0.002072,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.001942,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          0.0013544,
+          0.0013518,
+          0.0013435,
+          0.0013476,
+          0.0013603,
+          0.0014007,
+          0.0015283
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          -0.0011775,
+          -0.0011767,
+          -0.0011743,
+          -0.0011744,
+          -0.0011748,
+          -0.0011759,
+          -0.0011796
+        ]
+      }
+    },
+    "max_transition": 10.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002201
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001456249,
+            0.004241324,
+            0.01235285,
+            0.03597765,
+            0.1047849,
+            0.3051858
+          ],
+          "values": [
+            [
+              0.007687,
+              0.0070267,
+              0.0048991,
+              -0.0017705,
+              -0.0224552,
+              -0.0847718,
+              -0.267323
+            ],
+            [
+              0.0076658,
+              0.0070007,
+              0.0048683,
+              -0.0018114,
+              -0.0224617,
+              -0.0848032,
+              -0.2673666
+            ],
+            [
+              0.0075913,
+              0.0069192,
+              0.004784,
+              -0.0019045,
+              -0.0225522,
+              -0.0848785,
+              -0.2674377
+            ],
+            [
+              0.00746,
+              0.0067934,
+              0.0046434,
+              -0.0020286,
+              -0.0226906,
+              -0.0850229,
+              -0.2675781
+            ],
+            [
+              0.0073331,
+              0.0066693,
+              0.0045245,
+              -0.0021463,
+              -0.0228275,
+              -0.0851421,
+              -0.2677035
+            ],
+            [
+              0.0073059,
+              0.0066331,
+              0.0045306,
+              -0.0021498,
+              -0.0228469,
+              -0.0851814,
+              -0.2677248
+            ],
+            [
+              0.007196,
+              0.0065286,
+              0.0043646,
+              -0.0023043,
+              -0.0229973,
+              -0.0853164,
+              -0.2678436
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001456249,
+            0.004241324,
+            0.01235285,
+            0.03597765,
+            0.1047849,
+            0.3051858
+          ],
+          "values": [
+            [
+              0.0074469,
+              0.0084581,
+              0.0112561,
+              0.0188864,
+              0.0406964,
+              0.1028715,
+              0.2833968
+            ],
+            [
+              0.0074433,
+              0.008446,
+              0.0112003,
+              0.0189511,
+              0.0407431,
+              0.1024323,
+              0.283923
+            ],
+            [
+              0.0074337,
+              0.0084436,
+              0.0112275,
+              0.018854,
+              0.040661,
+              0.1029346,
+              0.2841928
+            ],
+            [
+              0.0074059,
+              0.0083973,
+              0.0111318,
+              0.0188112,
+              0.0405912,
+              0.1028597,
+              0.2840324
+            ],
+            [
+              0.0073942,
+              0.0083544,
+              0.0110765,
+              0.0186078,
+              0.0405282,
+              0.1027083,
+              0.2832154
+            ],
+            [
+              0.0076687,
+              0.0085703,
+              0.0111318,
+              0.0184607,
+              0.0401615,
+              0.1025767,
+              0.2844581
+            ],
+            [
+              0.0077355,
+              0.0086823,
+              0.0113134,
+              0.0186333,
+              0.0399156,
+              0.1022457,
+              0.2828587
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001456249,
+            0.004241324,
+            0.01235285,
+            0.03597765,
+            0.1047849,
+            0.3051858
+          ],
+          "values": [
+            [
+              0.009006,
+              0.0083164,
+              0.0061911,
+              -0.0005024,
+              -0.0211711,
+              -0.0834597,
+              -0.265982
+            ],
+            [
+              0.0089618,
+              0.0082996,
+              0.0061589,
+              -0.0004892,
+              -0.021199,
+              -0.0834924,
+              -0.2660116
+            ],
+            [
+              0.0089193,
+              0.0082429,
+              0.0061093,
+              -0.0005342,
+              -0.0212272,
+              -0.0835312,
+              -0.2660515
+            ],
+            [
+              0.008846,
+              0.0081776,
+              0.0060414,
+              -0.0006365,
+              -0.0213132,
+              -0.0836112,
+              -0.2661409
+            ],
+            [
+              0.0087729,
+              0.0080848,
+              0.0059589,
+              -0.0007269,
+              -0.0213994,
+              -0.0836981,
+              -0.2662112
+            ],
+            [
+              0.0087195,
+              0.0080512,
+              0.0059195,
+              -0.00073,
+              -0.0214393,
+              -0.0837337,
+              -0.2662399
+            ],
+            [
+              0.0086535,
+              0.007984,
+              0.0058595,
+              -0.0008495,
+              -0.02154,
+              -0.0838128,
+              -0.2663045
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001456249,
+            0.004241324,
+            0.01235285,
+            0.03597765,
+            0.1047849,
+            0.3051858
+          ],
+          "values": [
+            [
+              0.0073645,
+              0.0083757,
+              0.0111487,
+              0.0188922,
+              0.0403514,
+              0.1027532,
+              0.2835425
+            ],
+            [
+              0.007351,
+              0.0083643,
+              0.0111518,
+              0.0187848,
+              0.0405889,
+              0.1027509,
+              0.2840466
+            ],
+            [
+              0.0073211,
+              0.0083284,
+              0.0111158,
+              0.0187419,
+              0.0405512,
+              0.1028264,
+              0.2833676
+            ],
+            [
+              0.0072882,
+              0.0082902,
+              0.0110588,
+              0.0186953,
+              0.0403325,
+              0.1028413,
+              0.2845847
+            ],
+            [
+              0.0072597,
+              0.0082364,
+              0.0109824,
+              0.0185554,
+              0.0401397,
+              0.1026973,
+              0.2829656
+            ],
+            [
+              0.0076419,
+              0.008522,
+              0.0111041,
+              0.0184917,
+              0.0402665,
+              0.1025389,
+              0.2844377
+            ],
+            [
+              0.0078692,
+              0.0087525,
+              0.0114057,
+              0.0188512,
+              0.0400511,
+              0.1020751,
+              0.2830254
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001456249,
+            0.004241324,
+            0.01235285,
+            0.03597765,
+            0.1047849,
+            0.3051858
+          ],
+          "values": [
+            [
+              0.008199,
+              0.0075285,
+              0.0053997,
+              -0.0012773,
+              -0.0219495,
+              -0.0842513,
+              -0.2667914
+            ],
+            [
+              0.0081387,
+              0.0074817,
+              0.0053524,
+              -0.0012987,
+              -0.0219985,
+              -0.0843105,
+              -0.2668163
+            ],
+            [
+              0.0080604,
+              0.007403,
+              0.0052949,
+              -0.0013922,
+              -0.0221057,
+              -0.0843711,
+              -0.2668789
+            ],
+            [
+              0.0079615,
+              0.0072883,
+              0.0051986,
+              -0.0014688,
+              -0.0221802,
+              -0.0844715,
+              -0.2669812
+            ],
+            [
+              0.0078862,
+              0.0072021,
+              0.0051083,
+              -0.0016043,
+              -0.0222938,
+              -0.0845711,
+              -0.2670938
+            ],
+            [
+              0.0078889,
+              0.0071998,
+              0.0050817,
+              -0.0015895,
+              -0.022318,
+              -0.0845882,
+              -0.2670887
+            ],
+            [
+              0.0077956,
+              0.0071171,
+              0.0049992,
+              -0.0017092,
+              -0.0224071,
+              -0.0846769,
+              -0.2671649
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001456249,
+            0.004241324,
+            0.01235285,
+            0.03597765,
+            0.1047849,
+            0.3051858
+          ],
+          "values": [
+            [
+              0.0068228,
+              0.0077781,
+              0.0104422,
+              0.0179513,
+              0.0392217,
+              0.1015192,
+              0.2825137
+            ],
+            [
+              0.0068244,
+              0.0077849,
+              0.010453,
+              0.0179347,
+              0.0392514,
+              0.1011857,
+              0.2824902
+            ],
+            [
+              0.0068388,
+              0.0077975,
+              0.0104513,
+              0.0180257,
+              0.0393421,
+              0.1016543,
+              0.2820147
+            ],
+            [
+              0.0067902,
+              0.0077333,
+              0.0103591,
+              0.0179565,
+              0.0393903,
+              0.1016011,
+              0.2826664
+            ],
+            [
+              0.0067162,
+              0.0076512,
+              0.0102712,
+              0.0177747,
+              0.0394477,
+              0.1015197,
+              0.2818228
+            ],
+            [
+              0.006876,
+              0.0077556,
+              0.0103408,
+              0.0176369,
+              0.0389278,
+              0.1013822,
+              0.2818009
+            ],
+            [
+              0.0068948,
+              0.0077759,
+              0.0104392,
+              0.0178668,
+              0.039485,
+              0.1013394,
+              0.2817223
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001456249,
+            0.004241324,
+            0.01235285,
+            0.03597765,
+            0.1047849,
+            0.3051858
+          ],
+          "values": [
+            [
+              0.0074953,
+              0.006835,
+              0.0047057,
+              -0.0019609,
+              -0.0226496,
+              -0.0849446,
+              -0.2674323
+            ],
+            [
+              0.0074598,
+              0.0067989,
+              0.0046634,
+              -0.0019891,
+              -0.0227127,
+              -0.0849813,
+              -0.267502
+            ],
+            [
+              0.0074155,
+              0.0067264,
+              0.0046057,
+              -0.0020646,
+              -0.0227444,
+              -0.0850542,
+              -0.2675465
+            ],
+            [
+              0.0073138,
+              0.0066441,
+              0.0045428,
+              -0.002167,
+              -0.0228456,
+              -0.0851422,
+              -0.2676161
+            ],
+            [
+              0.0072115,
+              0.0065503,
+              0.0044157,
+              -0.0022571,
+              -0.0229349,
+              -0.085234,
+              -0.2677563
+            ],
+            [
+              0.0072017,
+              0.0065099,
+              0.0043893,
+              -0.0023067,
+              -0.0229828,
+              -0.0852739,
+              -0.2677804
+            ],
+            [
+              0.007123,
+              0.0064422,
+              0.0043458,
+              -0.0023909,
+              -0.0230802,
+              -0.0853665,
+              -0.2678433
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001456249,
+            0.004241324,
+            0.01235285,
+            0.03597765,
+            0.1047849,
+            0.3051858
+          ],
+          "values": [
+            [
+              0.006601,
+              0.0075333,
+              0.0101746,
+              0.0175247,
+              0.0389797,
+              0.1010666,
+              0.2820885
+            ],
+            [
+              0.0066078,
+              0.0075303,
+              0.0101871,
+              0.0176208,
+              0.0389713,
+              0.1010681,
+              0.2819926
+            ],
+            [
+              0.0066236,
+              0.0075441,
+              0.0101425,
+              0.0175255,
+              0.0388838,
+              0.1007398,
+              0.28132
+            ],
+            [
+              0.0065696,
+              0.0074832,
+              0.0100809,
+              0.0174793,
+              0.0389392,
+              0.101152,
+              0.2822692
+            ],
+            [
+              0.006461,
+              0.0073674,
+              0.0099499,
+              0.0173643,
+              0.0388215,
+              0.100945,
+              0.2818882
+            ],
+            [
+              0.0064276,
+              0.0073335,
+              0.0099151,
+              0.0172552,
+              0.0386482,
+              0.10086,
+              0.2817368
+            ],
+            [
+              0.0062988,
+              0.0072228,
+              0.0097773,
+              0.0172651,
+              0.0388839,
+              0.1006334,
+              0.2811298
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001456249,
+            0.004241324,
+            0.01235285,
+            0.03597765,
+            0.1047849,
+            0.3051858
+          ],
+          "values": [
+            [
+              0.0074739,
+              0.0068073,
+              0.0046808,
+              -0.0019966,
+              -0.0226785,
+              -0.0849813,
+              -0.2674951
+            ],
+            [
+              0.007423,
+              0.006777,
+              0.0046262,
+              -0.0020282,
+              -0.0227348,
+              -0.0850369,
+              -0.2675403
+            ],
+            [
+              0.0073266,
+              0.0066576,
+              0.0045313,
+              -0.0021244,
+              -0.0228253,
+              -0.0851274,
+              -0.2676394
+            ],
+            [
+              0.007199,
+              0.0065374,
+              0.0044222,
+              -0.0022802,
+              -0.0229494,
+              -0.0852539,
+              -0.2677833
+            ],
+            [
+              0.0070673,
+              0.0063894,
+              0.0042607,
+              -0.0023858,
+              -0.0230898,
+              -0.0853855,
+              -0.2678984
+            ],
+            [
+              0.0069981,
+              0.0063355,
+              0.0042039,
+              -0.0024453,
+              -0.0231764,
+              -0.0854677,
+              -0.2679654
+            ],
+            [
+              0.0067679,
+              0.0060976,
+              0.0039487,
+              -0.0027359,
+              -0.0234335,
+              -0.0857108,
+              -0.2681796
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001456249,
+            0.004241324,
+            0.01235285,
+            0.03597765,
+            0.1047849,
+            0.3051858
+          ],
+          "values": [
+            [
+              0.0054805,
+              0.0063768,
+              0.0089467,
+              0.0163461,
+              0.0375702,
+              0.099594,
+              0.2803733
+            ],
+            [
+              0.0054837,
+              0.0063762,
+              0.0089275,
+              0.0162646,
+              0.0373745,
+              0.099219,
+              0.2811838
+            ],
+            [
+              0.0054675,
+              0.0063653,
+              0.0089457,
+              0.0163317,
+              0.037865,
+              0.0997278,
+              0.2805386
+            ],
+            [
+              0.0053552,
+              0.0062568,
+              0.0088151,
+              0.0162046,
+              0.0375857,
+              0.0997386,
+              0.2809148
+            ],
+            [
+              0.0052011,
+              0.0060787,
+              0.0086063,
+              0.0160863,
+              0.0371749,
+              0.099123,
+              0.2808229
+            ],
+            [
+              0.0050749,
+              0.0059673,
+              0.008566,
+              0.0158535,
+              0.0372543,
+              0.0993212,
+              0.2798806
+            ],
+            [
+              0.0048659,
+              0.0057751,
+              0.0083648,
+              0.0158233,
+              0.0374867,
+              0.0988835,
+              0.2800197
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.305186,
+    "max_transition": 10.002471,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00145625,
+            0.00424132,
+            0.0123528,
+            0.0359777,
+            0.104785,
+            0.305186
+          ],
+          "values": [
+            [
+              2.202065,
+              2.246181,
+              2.3345292,
+              2.4877054,
+              2.7672604,
+              3.3493648,
+              4.7704041
+            ],
+            [
+              2.2096949,
+              2.2537252,
+              2.3423814,
+              2.4956073,
+              2.7789033,
+              3.3579901,
+              4.7797135
+            ],
+            [
+              2.2349054,
+              2.2801085,
+              2.3683655,
+              2.5220637,
+              2.8043907,
+              3.3858529,
+              4.8106328
+            ],
+            [
+              2.3552718,
+              2.3998676,
+              2.4864948,
+              2.6408904,
+              2.9253435,
+              3.5067089,
+              4.9303877
+            ],
+            [
+              2.8018616,
+              2.8458422,
+              2.9326546,
+              3.0866458,
+              3.3679441,
+              3.9493582,
+              5.3719011
+            ],
+            [
+              4.1757979,
+              4.2198942,
+              4.3073713,
+              4.4621968,
+              4.7461102,
+              5.3258167,
+              6.7489303
+            ],
+            [
+              8.2657072,
+              8.3113747,
+              8.399776,
+              8.5563858,
+              8.8418863,
+              9.4197983,
+              10.8381384
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00145625,
+            0.00424132,
+            0.0123528,
+            0.0359777,
+            0.104785,
+            0.305186
+          ],
+          "values": [
+            [
+              0.257446,
+              0.285645,
+              0.3609092,
+              0.566758,
+              1.1463686,
+              2.8154497,
+              7.6495601
+            ],
+            [
+              0.2687376,
+              0.2968016,
+              0.3721166,
+              0.5781986,
+              1.1576594,
+              2.8269753,
+              7.6674693
+            ],
+            [
+              0.3057826,
+              0.3338199,
+              0.4087755,
+              0.6143521,
+              1.1943948,
+              2.861712,
+              7.6917255
+            ],
+            [
+              0.4242536,
+              0.4518815,
+              0.5261823,
+              0.7305327,
+              1.3105009,
+              2.978332,
+              7.808679
+            ],
+            [
+              0.7856256,
+              0.8132432,
+              0.8869713,
+              1.0899976,
+              1.6681354,
+              3.339079,
+              8.1770253
+            ],
+            [
+              1.6820149,
+              1.7098624,
+              1.786352,
+              1.9892019,
+              2.5689522,
+              4.2378585,
+              9.0728847
+            ],
+            [
+              3.8393466,
+              3.8812158,
+              3.9731574,
+              4.1778203,
+              4.7555213,
+              6.4236829,
+              11.2551861
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00145625,
+            0.00424132,
+            0.0123528,
+            0.0359777,
+            0.104785,
+            0.305186
+          ],
+          "values": [
+            [
+              0.1913339,
+              0.2098028,
+              0.250923,
+              0.3455058,
+              0.5686073,
+              1.1412354,
+              2.8745486
+            ],
+            [
+              0.1919273,
+              0.2108073,
+              0.2507789,
+              0.3455534,
+              0.570058,
+              1.141495,
+              2.8752205
+            ],
+            [
+              0.1936577,
+              0.2097952,
+              0.2508294,
+              0.3442654,
+              0.5698941,
+              1.1405886,
+              2.8740212
+            ],
+            [
+              0.1929641,
+              0.2107708,
+              0.2526314,
+              0.3454772,
+              0.568889,
+              1.1402542,
+              2.8736291
+            ],
+            [
+              0.1914739,
+              0.2107721,
+              0.2525968,
+              0.3455226,
+              0.5656368,
+              1.1409608,
+              2.8744047
+            ],
+            [
+              0.1923213,
+              0.2109479,
+              0.2500492,
+              0.3429749,
+              0.5686845,
+              1.1383222,
+              2.8896423
+            ],
+            [
+              0.1976486,
+              0.2163772,
+              0.2573222,
+              0.3460258,
+              0.5688272,
+              1.138876,
+              2.8732074
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00145625,
+            0.00424132,
+            0.0123528,
+            0.0359777,
+            0.104785,
+            0.305186
+          ],
+          "values": [
+            [
+              0.0779595,
+              0.1091415,
+              0.2001785,
+              0.4627319,
+              1.2438715,
+              3.4641385,
+              9.9595479
+            ],
+            [
+              0.0774604,
+              0.1093963,
+              0.199036,
+              0.4708127,
+              1.2355519,
+              3.4592313,
+              9.9477688
+            ],
+            [
+              0.0776034,
+              0.1081849,
+              0.2000151,
+              0.4624674,
+              1.2427847,
+              3.4683785,
+              9.961366
+            ],
+            [
+              0.076561,
+              0.1080711,
+              0.1981983,
+              0.4618639,
+              1.2432245,
+              3.4689871,
+              9.966028
+            ],
+            [
+              0.077438,
+              0.1077323,
+              0.1986598,
+              0.4601998,
+              1.2362322,
+              3.4595629,
+              9.9549711
+            ],
+            [
+              0.0912412,
+              0.1204746,
+              0.2043948,
+              0.4611571,
+              1.2328304,
+              3.4703007,
+              9.9804316
+            ],
+            [
+              0.1483289,
+              0.1748814,
+              0.2454612,
+              0.4804355,
+              1.2433612,
+              3.4693515,
+              9.9639808
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00145625,
+            0.00424132,
+            0.0123528,
+            0.0359777,
+            0.104785,
+            0.305186
+          ],
+          "values": [
+            [
+              2.3408886,
+              2.383739,
+              2.4704823,
+              2.6240998,
+              2.9079272,
+              3.488235,
+              4.9131663
+            ],
+            [
+              2.3465425,
+              2.3912725,
+              2.4770868,
+              2.6319045,
+              2.91527,
+              3.4949659,
+              4.919785
+            ],
+            [
+              2.3797349,
+              2.4239077,
+              2.5100284,
+              2.6651155,
+              2.9481473,
+              3.5283403,
+              4.9503996
+            ],
+            [
+              2.5083786,
+              2.5525053,
+              2.6389986,
+              2.7938929,
+              3.0769853,
+              3.6574725,
+              5.0820042
+            ],
+            [
+              2.948539,
+              2.9924616,
+              3.0798655,
+              3.2327654,
+              3.5194989,
+              4.0976338,
+              5.5170148
+            ],
+            [
+              4.2538364,
+              4.297612,
+              4.3842623,
+              4.5393271,
+              4.8223878,
+              5.4028062,
+              6.8278965
+            ],
+            [
+              8.1244549,
+              8.1690725,
+              8.2555083,
+              8.4131875,
+              8.698362,
+              9.277521,
+              10.7014628
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00145625,
+            0.00424132,
+            0.0123528,
+            0.0359777,
+            0.104785,
+            0.305186
+          ],
+          "values": [
+            [
+              0.2643503,
+              0.292398,
+              0.367632,
+              0.5739072,
+              1.1541147,
+              2.8212284,
+              7.6626874
+            ],
+            [
+              0.2770764,
+              0.305151,
+              0.3802283,
+              0.5859072,
+              1.165789,
+              2.8339029,
+              7.6652222
+            ],
+            [
+              0.3164441,
+              0.3444093,
+              0.4193263,
+              0.6249486,
+              1.2050965,
+              2.8709755,
+              7.7039477
+            ],
+            [
+              0.435568,
+              0.4633877,
+              0.5378155,
+              0.742642,
+              1.32308,
+              2.9888743,
+              7.8234352
+            ],
+            [
+              0.7996656,
+              0.827294,
+              0.9007745,
+              1.1042559,
+              1.6850034,
+              3.3536334,
+              8.1945961
+            ],
+            [
+              1.7656485,
+              1.7953882,
+              1.8711634,
+              2.0745445,
+              2.6510689,
+              4.3216275,
+              9.1565093
+            ],
+            [
+              4.2106412,
+              4.2494999,
+              4.3378012,
+              4.5454165,
+              5.1237405,
+              6.7944126,
+              11.6201573
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00145625,
+            0.00424132,
+            0.0123528,
+            0.0359777,
+            0.104785,
+            0.305186
+          ],
+          "values": [
+            [
+              0.1917484,
+              0.2097485,
+              0.250776,
+              0.3442759,
+              0.5640104,
+              1.1377396,
+              2.8761762
+            ],
+            [
+              0.1916711,
+              0.2093837,
+              0.2506951,
+              0.3432427,
+              0.5614404,
+              1.1371299,
+              2.8763312
+            ],
+            [
+              0.1919298,
+              0.2098347,
+              0.2516082,
+              0.3458491,
+              0.5675896,
+              1.1360137,
+              2.8866043
+            ],
+            [
+              0.1918956,
+              0.2099914,
+              0.2504509,
+              0.34691,
+              0.563218,
+              1.1359866,
+              2.8760954
+            ],
+            [
+              0.191655,
+              0.2096989,
+              0.2519775,
+              0.344256,
+              0.5605039,
+              1.1385969,
+              2.8907177
+            ],
+            [
+              0.1916824,
+              0.2098576,
+              0.2513434,
+              0.3431896,
+              0.5606762,
+              1.1382232,
+              2.8767888
+            ],
+            [
+              0.2008298,
+              0.2165318,
+              0.2604953,
+              0.350321,
+              0.5684886,
+              1.1381127,
+              2.8893432
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00145625,
+            0.00424132,
+            0.0123528,
+            0.0359777,
+            0.104785,
+            0.305186
+          ],
+          "values": [
+            [
+              0.0775537,
+              0.1093937,
+              0.1993561,
+              0.466702,
+              1.2322638,
+              3.499554,
+              10.0024709
+            ],
+            [
+              0.0775446,
+              0.1083596,
+              0.2003123,
+              0.4626098,
+              1.2434553,
+              3.4670677,
+              9.9609681
+            ],
+            [
+              0.0773649,
+              0.1082124,
+              0.2002466,
+              0.4627187,
+              1.2419803,
+              3.4695691,
+              9.9694129
+            ],
+            [
+              0.0769706,
+              0.10785,
+              0.1989933,
+              0.4622055,
+              1.2277154,
+              3.4696286,
+              9.9964046
+            ],
+            [
+              0.0771282,
+              0.1079996,
+              0.1993309,
+              0.4594802,
+              1.2322574,
+              3.4553964,
+              9.9516964
+            ],
+            [
+              0.088372,
+              0.1180517,
+              0.2039259,
+              0.4624403,
+              1.2399005,
+              3.469246,
+              9.9791695
+            ],
+            [
+              0.1284462,
+              0.1569901,
+              0.2338036,
+              0.4752281,
+              1.2324131,
+              3.4653918,
+              9.96633
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00145625,
+            0.00424132,
+            0.0123528,
+            0.0359777,
+            0.104785,
+            0.305186
+          ],
+          "values": [
+            [
+              2.2870406,
+              2.3309024,
+              2.4179203,
+              2.5730258,
+              2.8559999,
+              3.4361447,
+              4.8586322
+            ],
+            [
+              2.2865783,
+              2.3305073,
+              2.4182278,
+              2.5718989,
+              2.8553966,
+              3.4365997,
+              4.8600683
+            ],
+            [
+              2.3080096,
+              2.3521824,
+              2.4404321,
+              2.5929303,
+              2.8772638,
+              3.4573302,
+              4.8793218
+            ],
+            [
+              2.4238034,
+              2.4683213,
+              2.5560944,
+              2.7111289,
+              2.9945189,
+              3.5740959,
+              4.9975379
+            ],
+            [
+              2.8523408,
+              2.8977885,
+              2.9845695,
+              3.1385423,
+              3.422107,
+              4.0024801,
+              5.4251936
+            ],
+            [
+              4.2139798,
+              4.2579691,
+              4.3439825,
+              4.4989261,
+              4.7825801,
+              5.3599734,
+              6.7854473
+            ],
+            [
+              8.3903444,
+              8.4350029,
+              8.5232346,
+              8.6795025,
+              8.9626919,
+              9.5415489,
+              10.9651062
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00145625,
+            0.00424132,
+            0.0123528,
+            0.0359777,
+            0.104785,
+            0.305186
+          ],
+          "values": [
+            [
+              0.1746676,
+              0.2003912,
+              0.2713488,
+              0.4715794,
+              1.0446596,
+              2.7055336,
+              7.5440072
+            ],
+            [
+              0.1870445,
+              0.2127938,
+              0.2837977,
+              0.4840925,
+              1.0571037,
+              2.7183518,
+              7.556479
+            ],
+            [
+              0.2266274,
+              0.2522707,
+              0.3230547,
+              0.5226629,
+              1.096241,
+              2.7598164,
+              7.5927646
+            ],
+            [
+              0.3518317,
+              0.3769686,
+              0.4475574,
+              0.6469772,
+              1.2207753,
+              2.8852454,
+              7.7085041
+            ],
+            [
+              0.7007176,
+              0.7264667,
+              0.7968463,
+              0.9961998,
+              1.5691827,
+              3.2349956,
+              8.0703941
+            ],
+            [
+              1.5598054,
+              1.5889818,
+              1.6622551,
+              1.8612658,
+              2.434376,
+              4.1018593,
+              8.9336227
+            ],
+            [
+              3.6468506,
+              3.6921159,
+              3.7899524,
+              3.9994084,
+              4.5733342,
+              6.2343375,
+              11.0729994
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00145625,
+            0.00424132,
+            0.0123528,
+            0.0359777,
+            0.104785,
+            0.305186
+          ],
+          "values": [
+            [
+              0.1919395,
+              0.2101414,
+              0.2499688,
+              0.3447488,
+              0.5617726,
+              1.1381482,
+              2.8789864
+            ],
+            [
+              0.1916767,
+              0.2101326,
+              0.2494267,
+              0.3469975,
+              0.5680704,
+              1.1385127,
+              2.8877201
+            ],
+            [
+              0.1921673,
+              0.2103855,
+              0.2506426,
+              0.3426496,
+              0.5618717,
+              1.1392891,
+              2.8857881
+            ],
+            [
+              0.1942758,
+              0.2116617,
+              0.2514212,
+              0.3426541,
+              0.5604954,
+              1.1386986,
+              2.8765739
+            ],
+            [
+              0.1943222,
+              0.2099036,
+              0.2493573,
+              0.3431928,
+              0.5662264,
+              1.1339388,
+              2.8844818
+            ],
+            [
+              0.1916762,
+              0.2097157,
+              0.2522073,
+              0.3457786,
+              0.5607704,
+              1.1393475,
+              2.8754392
+            ],
+            [
+              0.1972817,
+              0.2168947,
+              0.2558049,
+              0.345101,
+              0.5634023,
+              1.1389365,
+              2.8771245
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00145625,
+            0.00424132,
+            0.0123528,
+            0.0359777,
+            0.104785,
+            0.305186
+          ],
+          "values": [
+            [
+              0.0677945,
+              0.0994626,
+              0.188924,
+              0.4526756,
+              1.2188515,
+              3.4684782,
+              9.9478275
+            ],
+            [
+              0.0682866,
+              0.0993835,
+              0.1892371,
+              0.4526217,
+              1.2190917,
+              3.4654886,
+              9.9397646
+            ],
+            [
+              0.0675978,
+              0.098503,
+              0.1882689,
+              0.4558942,
+              1.2176403,
+              3.4504977,
+              9.9477058
+            ],
+            [
+              0.0676326,
+              0.0986718,
+              0.1875141,
+              0.4552549,
+              1.2168943,
+              3.4570229,
+              9.9586872
+            ],
+            [
+              0.0714895,
+              0.1006308,
+              0.1885924,
+              0.4532897,
+              1.2321136,
+              3.4484133,
+              9.9462572
+            ],
+            [
+              0.091107,
+              0.1163575,
+              0.1982426,
+              0.4543199,
+              1.2186968,
+              3.4505172,
+              9.9513331
+            ],
+            [
+              0.1629467,
+              0.1871703,
+              0.2582468,
+              0.4778345,
+              1.2234962,
+              3.4570102,
+              9.9852882
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00145625,
+            0.00424132,
+            0.0123528,
+            0.0359777,
+            0.104785,
+            0.305186
+          ],
+          "values": [
+            [
+              2.1382587,
+              2.1821835,
+              2.2687581,
+              2.4238769,
+              2.7070886,
+              3.2874936,
+              4.7125628
+            ],
+            [
+              2.1387885,
+              2.1826434,
+              2.2691411,
+              2.4246318,
+              2.7077494,
+              3.2881684,
+              4.7132906
+            ],
+            [
+              2.1586277,
+              2.2003595,
+              2.2891946,
+              2.4441904,
+              2.7289504,
+              3.3068117,
+              4.7300834
+            ],
+            [
+              2.2652413,
+              2.3092539,
+              2.3958624,
+              2.5510696,
+              2.8342755,
+              3.4146233,
+              4.839146
+            ],
+            [
+              2.6827931,
+              2.7265603,
+              2.8132272,
+              2.9682161,
+              3.251283,
+              3.8316834,
+              5.2567635
+            ],
+            [
+              4.0291748,
+              4.0745487,
+              4.1612829,
+              4.312632,
+              4.5977493,
+              5.1781628,
+              6.600795
+            ],
+            [
+              8.2739309,
+              8.3190006,
+              8.4072642,
+              8.5636156,
+              8.8462633,
+              9.4266053,
+              10.8522089
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00145625,
+            0.00424132,
+            0.0123528,
+            0.0359777,
+            0.104785,
+            0.305186
+          ],
+          "values": [
+            [
+              0.1698322,
+              0.1951909,
+              0.2654146,
+              0.4631664,
+              1.0362199,
+              2.6988438,
+              7.5241884
+            ],
+            [
+              0.1823968,
+              0.2077012,
+              0.2779848,
+              0.4758418,
+              1.0472667,
+              2.7060312,
+              7.5457347
+            ],
+            [
+              0.2213199,
+              0.2464888,
+              0.3164592,
+              0.5150956,
+              1.0878622,
+              2.7477831,
+              7.5848767
+            ],
+            [
+              0.3459825,
+              0.3715273,
+              0.4411265,
+              0.6406657,
+              1.2140244,
+              2.8769733,
+              7.7029423
+            ],
+            [
+              0.6910635,
+              0.7167899,
+              0.7868869,
+              0.9854156,
+              1.5578727,
+              3.2188434,
+              8.0586862
+            ],
+            [
+              1.5335953,
+              1.5633302,
+              1.6365291,
+              1.8354719,
+              2.4141473,
+              4.0736445,
+              8.9082164
+            ],
+            [
+              3.5784178,
+              3.6260761,
+              3.7268304,
+              3.9365569,
+              4.5065529,
+              6.1670606,
+              11.0044658
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00145625,
+            0.00424132,
+            0.0123528,
+            0.0359777,
+            0.104785,
+            0.305186
+          ],
+          "values": [
+            [
+              0.1912992,
+              0.2098905,
+              0.2514398,
+              0.3432368,
+              0.5606929,
+              1.1381935,
+              2.8761327
+            ],
+            [
+              0.1913299,
+              0.2098953,
+              0.2514928,
+              0.3431526,
+              0.5607695,
+              1.1382343,
+              2.8759477
+            ],
+            [
+              0.1914984,
+              0.2121403,
+              0.252077,
+              0.3440759,
+              0.5603404,
+              1.1382916,
+              2.8787057
+            ],
+            [
+              0.1919209,
+              0.2100241,
+              0.2502522,
+              0.3472411,
+              0.5630292,
+              1.1361366,
+              2.8760261
+            ],
+            [
+              0.1913174,
+              0.2098733,
+              0.2514261,
+              0.3431626,
+              0.5606648,
+              1.1382293,
+              2.8766802
+            ],
+            [
+              0.191789,
+              0.2098254,
+              0.250693,
+              0.346153,
+              0.5645667,
+              1.1329803,
+              2.8842093
+            ],
+            [
+              0.2003844,
+              0.2186359,
+              0.2581464,
+              0.3469264,
+              0.5702475,
+              1.140199,
+              2.9032841
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00145625,
+            0.00424132,
+            0.0123528,
+            0.0359777,
+            0.104785,
+            0.305186
+          ],
+          "values": [
+            [
+              0.0664481,
+              0.096609,
+              0.1882739,
+              0.4505734,
+              1.2214006,
+              3.4496996,
+              9.9518047
+            ],
+            [
+              0.0664567,
+              0.0963256,
+              0.1867039,
+              0.448955,
+              1.2151194,
+              3.4721066,
+              9.9464019
+            ],
+            [
+              0.0664237,
+              0.0963406,
+              0.1856801,
+              0.4487817,
+              1.2186526,
+              3.4602361,
+              9.9430357
+            ],
+            [
+              0.0663852,
+              0.0973232,
+              0.1853526,
+              0.4508033,
+              1.2216953,
+              3.4485499,
+              9.9522524
+            ],
+            [
+              0.0710781,
+              0.1004508,
+              0.1881997,
+              0.4546505,
+              1.2157548,
+              3.4699104,
+              9.939698
+            ],
+            [
+              0.0914747,
+              0.1178774,
+              0.1985608,
+              0.4572209,
+              1.2222875,
+              3.4477881,
+              9.9366808
+            ],
+            [
+              0.1696376,
+              0.1926687,
+              0.2643891,
+              0.4771842,
+              1.2237356,
+              3.479159,
+              9.9877644
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00145625,
+            0.00424132,
+            0.0123528,
+            0.0359777,
+            0.104785,
+            0.305186
+          ],
+          "values": [
+            [
+              1.9218094,
+              1.9657209,
+              2.052241,
+              2.2070221,
+              2.4908784,
+              3.0709684,
+              4.4958393
+            ],
+            [
+              1.9191926,
+              1.9631361,
+              2.0502995,
+              2.2044395,
+              2.4880626,
+              3.0686405,
+              4.4916253
+            ],
+            [
+              1.930011,
+              1.9739592,
+              2.0606703,
+              2.2155879,
+              2.4993532,
+              3.0794578,
+              4.504483
+            ],
+            [
+              2.0197619,
+              2.064833,
+              2.1508912,
+              2.3018945,
+              2.5865194,
+              3.1667367,
+              4.5891912
+            ],
+            [
+              2.4004128,
+              2.4436269,
+              2.5292701,
+              2.6843705,
+              2.9671245,
+              3.5471477,
+              4.9726028
+            ],
+            [
+              3.6549326,
+              3.7004624,
+              3.7854457,
+              3.9414791,
+              4.2245141,
+              4.8049218,
+              6.2301369
+            ],
+            [
+              7.6367757,
+              7.6858075,
+              7.7803275,
+              7.9383735,
+              8.2273957,
+              8.8084997,
+              10.2298507
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00145625,
+            0.00424132,
+            0.0123528,
+            0.0359777,
+            0.104785,
+            0.305186
+          ],
+          "values": [
+            [
+              0.1605601,
+              0.1854452,
+              0.2544533,
+              0.4510856,
+              1.0195369,
+              2.6825897,
+              7.5068352
+            ],
+            [
+              0.1730747,
+              0.198003,
+              0.2672858,
+              0.4640482,
+              1.033351,
+              2.7043265,
+              7.5247639
+            ],
+            [
+              0.212855,
+              0.2375256,
+              0.3067273,
+              0.5047429,
+              1.0737435,
+              2.7452003,
+              7.5681186
+            ],
+            [
+              0.3375472,
+              0.3622111,
+              0.4312302,
+              0.6290362,
+              1.2008454,
+              2.8600977,
+              7.6882882
+            ],
+            [
+              0.675315,
+              0.7009808,
+              0.7707394,
+              0.968319,
+              1.5422822,
+              3.2021243,
+              8.0330418
+            ],
+            [
+              1.5025163,
+              1.5321263,
+              1.6057587,
+              1.8035192,
+              2.3760192,
+              4.0358428,
+              8.8693177
+            ],
+            [
+              3.5046654,
+              3.5549357,
+              3.657916,
+              3.8712811,
+              4.4412372,
+              6.1045089,
+              10.9271152
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00145625,
+            0.00424132,
+            0.0123528,
+            0.0359777,
+            0.104785,
+            0.305186
+          ],
+          "values": [
+            [
+              0.1916766,
+              0.2097037,
+              0.2511946,
+              0.3447734,
+              0.5629339,
+              1.1372092,
+              2.876273
+            ],
+            [
+              0.192915,
+              0.209658,
+              0.249474,
+              0.3449827,
+              0.5654701,
+              1.1326933,
+              2.8859073
+            ],
+            [
+              0.1916513,
+              0.209637,
+              0.251103,
+              0.343511,
+              0.5626751,
+              1.1378462,
+              2.876158
+            ],
+            [
+              0.1912024,
+              0.2095682,
+              0.2504655,
+              0.3458822,
+              0.5626594,
+              1.1367751,
+              2.8797329
+            ],
+            [
+              0.1917091,
+              0.2098555,
+              0.2515413,
+              0.3458686,
+              0.5640515,
+              1.1398896,
+              2.8753788
+            ],
+            [
+              0.1911752,
+              0.2095218,
+              0.251613,
+              0.3429803,
+              0.5616909,
+              1.1380106,
+              2.8761744
+            ],
+            [
+              0.2234528,
+              0.2410727,
+              0.2782976,
+              0.3655982,
+              0.5738741,
+              1.1479355,
+              2.8920757
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00145625,
+            0.00424132,
+            0.0123528,
+            0.0359777,
+            0.104785,
+            0.305186
+          ],
+          "values": [
+            [
+              0.0650997,
+              0.0964264,
+              0.1849405,
+              0.4570737,
+              1.2145187,
+              3.4471803,
+              9.9688933
+            ],
+            [
+              0.0656507,
+              0.0952815,
+              0.1847183,
+              0.4492422,
+              1.220487,
+              3.456172,
+              10.0021857
+            ],
+            [
+              0.0653109,
+              0.0962735,
+              0.1849392,
+              0.4483708,
+              1.2343127,
+              3.4435204,
+              9.9882964
+            ],
+            [
+              0.0656542,
+              0.0957282,
+              0.1844006,
+              0.4475708,
+              1.2134009,
+              3.4450094,
+              9.9605311
+            ],
+            [
+              0.0710972,
+              0.1003515,
+              0.1867903,
+              0.4554205,
+              1.2178375,
+              3.4511478,
+              9.9581374
+            ],
+            [
+              0.0950799,
+              0.1197936,
+              0.1984275,
+              0.4525509,
+              1.2176704,
+              3.4454175,
+              9.9886535
+            ],
+            [
+              0.1791503,
+              0.2033123,
+              0.2690612,
+              0.4803098,
+              1.2216738,
+              3.481895,
+              9.9787245
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_n40C_1v40.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_n40C_1v40.lib.json
new file mode 100644
index 0000000..4131f1a
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_n40C_1v40.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 6.451454e-05,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 8.840945e-05,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0001288,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 8.7932144e-05,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.8393493e-05,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 8.7982268e-05,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 2.0301969e-05,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 8.7932062e-05,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 1.83395e-05,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 8.840945e-05,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0001381,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 8.7932144e-05,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.8393493e-05,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 8.7982274e-05,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 2.0301969e-05,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 8.7932062e-05,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 1.83395e-05,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 8.840945e-05,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0001362,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 8.7932144e-05,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.8393493e-05,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 8.7982281e-05,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 2.0301969e-05,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 8.7932062e-05,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 1.83395e-05,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 8.757702e-05,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 2.5203289e-05,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 8.7928966e-05,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.828768e-05,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 8.7992988e-05,
+      "when": "A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 2.0188598e-05,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 8.7931981e-05,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 1.8338135e-05,
+      "when": "A1&A2&B1&C1&!D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.002141,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002054,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          0.0024859,
+          0.002477,
+          0.0024492,
+          0.0024511,
+          0.0024574,
+          0.002477,
+          0.002539
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          -0.0021824,
+          -0.0021868,
+          -0.0022006,
+          -0.0021974,
+          -0.0021873,
+          -0.0021552,
+          -0.0020537
+        ]
+      }
+    },
+    "max_transition": 10.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002227
+  },
+  "pin A2": {
+    "capacitance": 0.002004,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.001926,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          0.0021446,
+          0.0021423,
+          0.0021349,
+          0.0021361,
+          0.00214,
+          0.0021521,
+          0.0021905
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          -0.0021319,
+          -0.0021293,
+          -0.002121,
+          -0.002122,
+          -0.0021252,
+          -0.0021355,
+          -0.0021679
+        ]
+      }
+    },
+    "max_transition": 10.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002083
+  },
+  "pin B1": {
+    "capacitance": 0.002173,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.00207,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          0.0022454,
+          0.0022433,
+          0.0022366,
+          0.0022369,
+          0.0022377,
+          0.0022404,
+          0.0022487
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          -0.0019775,
+          -0.0019866,
+          -0.0020152,
+          -0.0020182,
+          -0.0020276,
+          -0.0020575,
+          -0.002152
+        ]
+      }
+    },
+    "max_transition": 10.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002276
+  },
+  "pin C1": {
+    "capacitance": 0.002144,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002038,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          0.0020905,
+          0.0020875,
+          0.002078,
+          0.0020786,
+          0.0020805,
+          0.0020864,
+          0.0021053
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          -0.0019832,
+          -0.0019887,
+          -0.0020063,
+          -0.0020075,
+          -0.0020116,
+          -0.0020244,
+          -0.0020649
+        ]
+      }
+    },
+    "max_transition": 10.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002249
+  },
+  "pin D1": {
+    "capacitance": 0.002111,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.001969,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          0.0014796,
+          0.001474,
+          0.0014566,
+          0.001461,
+          0.0014748,
+          0.0015187,
+          0.0016574
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0316228,
+          0.1,
+          0.316228,
+          1.0,
+          3.16228,
+          9.9999999
+        ],
+        "values": [
+          -0.0012459,
+          -0.0012483,
+          -0.001256,
+          -0.0012561,
+          -0.0012566,
+          -0.0012579,
+          -0.0012622
+        ]
+      }
+    },
+    "max_transition": 10.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002253
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001498034,
+            0.004488213,
+            0.01344699,
+            0.04028811,
+            0.1207059,
+            0.3616433
+          ],
+          "values": [
+            [
+              0.008263,
+              0.0075601,
+              0.0051455,
+              -0.0027548,
+              -0.0281158,
+              -0.1065965,
+              -0.3426993
+            ],
+            [
+              0.008257,
+              0.0075189,
+              0.0051236,
+              -0.0027907,
+              -0.0281429,
+              -0.1066043,
+              -0.3426799
+            ],
+            [
+              0.0081872,
+              0.0074838,
+              0.0050368,
+              -0.0028573,
+              -0.0282473,
+              -0.1067114,
+              -0.3427768
+            ],
+            [
+              0.0080521,
+              0.0073258,
+              0.0048674,
+              -0.003011,
+              -0.0283922,
+              -0.1068708,
+              -0.3429144
+            ],
+            [
+              0.0078993,
+              0.0071509,
+              0.0047791,
+              -0.0031738,
+              -0.0285429,
+              -0.1069905,
+              -0.3430592
+            ],
+            [
+              0.0078679,
+              0.00714,
+              0.0047086,
+              -0.0032107,
+              -0.0285592,
+              -0.1070221,
+              -0.3430975
+            ],
+            [
+              0.0074908,
+              0.0067759,
+              0.0043425,
+              -0.0034621,
+              -0.0287503,
+              -0.1071668,
+              -0.3432137
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001498034,
+            0.004488213,
+            0.01344699,
+            0.04028811,
+            0.1207059,
+            0.3616433
+          ],
+          "values": [
+            [
+              0.008166,
+              0.0093037,
+              0.0125313,
+              0.021502,
+              0.0482431,
+              0.1257819,
+              0.3598902
+            ],
+            [
+              0.0081571,
+              0.009291,
+              0.012527,
+              0.0215178,
+              0.0481716,
+              0.1263543,
+              0.3600409
+            ],
+            [
+              0.0081368,
+              0.0092732,
+              0.0124931,
+              0.0214687,
+              0.0480048,
+              0.1256948,
+              0.3596515
+            ],
+            [
+              0.0081041,
+              0.0092194,
+              0.0124056,
+              0.0213615,
+              0.0479296,
+              0.1256442,
+              0.3594974
+            ],
+            [
+              0.0080912,
+              0.0091795,
+              0.0122912,
+              0.0213769,
+              0.0478993,
+              0.1261236,
+              0.3593869
+            ],
+            [
+              0.0084641,
+              0.0094454,
+              0.0124533,
+              0.0210235,
+              0.0474924,
+              0.1256824,
+              0.35937
+            ],
+            [
+              0.0085225,
+              0.0095021,
+              0.0125744,
+              0.0212249,
+              0.0475043,
+              0.1252706,
+              0.3590775
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001498034,
+            0.004488213,
+            0.01344699,
+            0.04028811,
+            0.1207059,
+            0.3616433
+          ],
+          "values": [
+            [
+              0.0097634,
+              0.0089956,
+              0.0065836,
+              -0.0013361,
+              -0.0266747,
+              -0.1051006,
+              -0.3411264
+            ],
+            [
+              0.0096911,
+              0.0089651,
+              0.0065334,
+              -0.0013751,
+              -0.0267124,
+              -0.10514,
+              -0.341166
+            ],
+            [
+              0.0096583,
+              0.0089356,
+              0.0064791,
+              -0.0014144,
+              -0.0267641,
+              -0.1051977,
+              -0.3412494
+            ],
+            [
+              0.0095562,
+              0.0088193,
+              0.0063831,
+              -0.001503,
+              -0.0268836,
+              -0.1052929,
+              -0.341316
+            ],
+            [
+              0.0094799,
+              0.0087331,
+              0.0063084,
+              -0.0016163,
+              -0.0269427,
+              -0.1053778,
+              -0.3413966
+            ],
+            [
+              0.0094013,
+              0.0086679,
+              0.0062349,
+              -0.0016503,
+              -0.0270148,
+              -0.1054465,
+              -0.3414633
+            ],
+            [
+              0.0092942,
+              0.0083693,
+              0.0059781,
+              -0.0018711,
+              -0.0271104,
+              -0.1054881,
+              -0.3414907
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001498034,
+            0.004488213,
+            0.01344699,
+            0.04028811,
+            0.1207059,
+            0.3616433
+          ],
+          "values": [
+            [
+              0.0080899,
+              0.0092344,
+              0.0124641,
+              0.0214422,
+              0.047883,
+              0.1267728,
+              0.3608053
+            ],
+            [
+              0.0080765,
+              0.0092101,
+              0.0124436,
+              0.0214096,
+              0.0480798,
+              0.1262966,
+              0.3599542
+            ],
+            [
+              0.0080288,
+              0.0091642,
+              0.0123928,
+              0.0214626,
+              0.0480349,
+              0.1262525,
+              0.3600637
+            ],
+            [
+              0.0079972,
+              0.0091145,
+              0.0122785,
+              0.0214588,
+              0.0478654,
+              0.1265615,
+              0.3604321
+            ],
+            [
+              0.0079734,
+              0.0090704,
+              0.0121911,
+              0.0212027,
+              0.0478504,
+              0.1260013,
+              0.3597812
+            ],
+            [
+              0.0084718,
+              0.0094542,
+              0.0124118,
+              0.0211863,
+              0.0473662,
+              0.126105,
+              0.3610937
+            ],
+            [
+              0.0086942,
+              0.0096893,
+              0.0126686,
+              0.0215751,
+              0.0478553,
+              0.1259032,
+              0.3591829
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001498034,
+            0.004488213,
+            0.01344699,
+            0.04028811,
+            0.1207059,
+            0.3616433
+          ],
+          "values": [
+            [
+              0.0088221,
+              0.0080852,
+              0.0056576,
+              -0.0021887,
+              -0.0276059,
+              -0.1060045,
+              -0.3420331
+            ],
+            [
+              0.0087619,
+              0.008025,
+              0.005628,
+              -0.0022505,
+              -0.0276303,
+              -0.1060649,
+              -0.3420911
+            ],
+            [
+              0.0086898,
+              0.0079436,
+              0.0055503,
+              -0.0023986,
+              -0.0277371,
+              -0.1061581,
+              -0.3421555
+            ],
+            [
+              0.0085888,
+              0.0078611,
+              0.0054376,
+              -0.0024828,
+              -0.0278444,
+              -0.1062725,
+              -0.3422931
+            ],
+            [
+              0.008476,
+              0.0077224,
+              0.0053376,
+              -0.0025936,
+              -0.0279481,
+              -0.1063826,
+              -0.3424129
+            ],
+            [
+              0.0084619,
+              0.00772,
+              0.0052965,
+              -0.0026193,
+              -0.027959,
+              -0.1063862,
+              -0.342403
+            ],
+            [
+              0.0081762,
+              0.0074641,
+              0.005096,
+              -0.0028082,
+              -0.028095,
+              -0.1064798,
+              -0.3424783
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001498034,
+            0.004488213,
+            0.01344699,
+            0.04028811,
+            0.1207059,
+            0.3616433
+          ],
+          "values": [
+            [
+              0.0074352,
+              0.0085166,
+              0.0116021,
+              0.0204258,
+              0.0467092,
+              0.1251055,
+              0.3597372
+            ],
+            [
+              0.0074499,
+              0.0085322,
+              0.0116043,
+              0.0205727,
+              0.046821,
+              0.1243278,
+              0.3587064
+            ],
+            [
+              0.007463,
+              0.0085405,
+              0.0116333,
+              0.0204975,
+              0.0469,
+              0.1249756,
+              0.3590746
+            ],
+            [
+              0.0074044,
+              0.0084658,
+              0.0115124,
+              0.0203305,
+              0.0466725,
+              0.1250842,
+              0.3598938
+            ],
+            [
+              0.0073787,
+              0.0083481,
+              0.011374,
+              0.0201529,
+              0.0464855,
+              0.1249591,
+              0.3585318
+            ],
+            [
+              0.0074638,
+              0.0084687,
+              0.0114717,
+              0.0200299,
+              0.0461226,
+              0.1247646,
+              0.3595911
+            ],
+            [
+              0.0075089,
+              0.0085054,
+              0.0114748,
+              0.0203728,
+              0.0464983,
+              0.124486,
+              0.3593114
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001498034,
+            0.004488213,
+            0.01344699,
+            0.04028811,
+            0.1207059,
+            0.3616433
+          ],
+          "values": [
+            [
+              0.0080513,
+              0.0072985,
+              0.0048911,
+              -0.0030339,
+              -0.0283942,
+              -0.1067937,
+              -0.3428285
+            ],
+            [
+              0.0080063,
+              0.0072508,
+              0.00482,
+              -0.0030647,
+              -0.0284231,
+              -0.1068556,
+              -0.3428811
+            ],
+            [
+              0.0079127,
+              0.0071887,
+              0.0047531,
+              -0.0031329,
+              -0.028541,
+              -0.1069527,
+              -0.3429836
+            ],
+            [
+              0.0077858,
+              0.0070403,
+              0.0046643,
+              -0.0032526,
+              -0.0286364,
+              -0.1070532,
+              -0.3430667
+            ],
+            [
+              0.0076848,
+              0.0069479,
+              0.0045776,
+              -0.0033886,
+              -0.0287253,
+              -0.1071531,
+              -0.3431721
+            ],
+            [
+              0.0076825,
+              0.0069553,
+              0.0044873,
+              -0.0034011,
+              -0.0287805,
+              -0.1071974,
+              -0.3432046
+            ],
+            [
+              0.0074189,
+              0.0067039,
+              0.0042606,
+              -0.0035754,
+              -0.0289456,
+              -0.1072809,
+              -0.3432627
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001498034,
+            0.004488213,
+            0.01344699,
+            0.04028811,
+            0.1207059,
+            0.3616433
+          ],
+          "values": [
+            [
+              0.0071843,
+              0.0082329,
+              0.0112132,
+              0.0200097,
+              0.0463788,
+              0.1242857,
+              0.3571795
+            ],
+            [
+              0.0071908,
+              0.0082401,
+              0.0112328,
+              0.0200671,
+              0.0463031,
+              0.1243888,
+              0.3573203
+            ],
+            [
+              0.0072013,
+              0.0082438,
+              0.011239,
+              0.0201805,
+              0.0464775,
+              0.124578,
+              0.3592235
+            ],
+            [
+              0.0071303,
+              0.0081619,
+              0.0111424,
+              0.0200263,
+              0.0460714,
+              0.1245788,
+              0.3576535
+            ],
+            [
+              0.007052,
+              0.0080146,
+              0.010987,
+              0.019816,
+              0.0458548,
+              0.1243296,
+              0.3577594
+            ],
+            [
+              0.0069359,
+              0.0079617,
+              0.0109349,
+              0.019637,
+              0.0455536,
+              0.1240556,
+              0.3571784
+            ],
+            [
+              0.0068615,
+              0.0078475,
+              0.0107876,
+              0.0196453,
+              0.0461985,
+              0.1235507,
+              0.3569294
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001498034,
+            0.004488213,
+            0.01344699,
+            0.04028811,
+            0.1207059,
+            0.3616433
+          ],
+          "values": [
+            [
+              0.0079895,
+              0.0072233,
+              0.004807,
+              -0.0030825,
+              -0.0284349,
+              -0.1068936,
+              -0.3429284
+            ],
+            [
+              0.0078926,
+              0.007193,
+              0.0047807,
+              -0.0031438,
+              -0.0284993,
+              -0.1069454,
+              -0.3429409
+            ],
+            [
+              0.0078127,
+              0.0070549,
+              0.0046565,
+              -0.0032857,
+              -0.0286161,
+              -0.1070447,
+              -0.343077
+            ],
+            [
+              0.0076721,
+              0.0069105,
+              0.0044847,
+              -0.0034163,
+              -0.0287497,
+              -0.1071917,
+              -0.3432095
+            ],
+            [
+              0.0075472,
+              0.0067955,
+              0.0043606,
+              -0.0035508,
+              -0.0288849,
+              -0.1073243,
+              -0.3433304
+            ],
+            [
+              0.0074592,
+              0.0067114,
+              0.004317,
+              -0.0036417,
+              -0.0290145,
+              -0.1074389,
+              -0.3434457
+            ],
+            [
+              0.0081855,
+              0.0072368,
+              0.0043538,
+              -0.0040787,
+              -0.0294001,
+              -0.1076957,
+              -0.3436535
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.03162278,
+            0.1,
+            0.3162278,
+            1,
+            3.162278,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.001498034,
+            0.004488213,
+            0.01344699,
+            0.04028811,
+            0.1207059,
+            0.3616433
+          ],
+          "values": [
+            [
+              0.0058833,
+              0.0068962,
+              0.0098353,
+              0.0184802,
+              0.0448967,
+              0.122692,
+              0.3557814
+            ],
+            [
+              0.0058898,
+              0.0068967,
+              0.0098741,
+              0.0186265,
+              0.0446397,
+              0.1227112,
+              0.3562896
+            ],
+            [
+              0.0058718,
+              0.006885,
+              0.0098191,
+              0.0185647,
+              0.0447098,
+              0.1225044,
+              0.3568552
+            ],
+            [
+              0.0057314,
+              0.006743,
+              0.0096898,
+              0.0183907,
+              0.0449378,
+              0.1226944,
+              0.3557674
+            ],
+            [
+              0.0055884,
+              0.0065319,
+              0.0094836,
+              0.0182975,
+              0.0442723,
+              0.1225647,
+              0.3563619
+            ],
+            [
+              0.0053875,
+              0.0063851,
+              0.0093727,
+              0.0180895,
+              0.0439981,
+              0.122312,
+              0.3557085
+            ],
+            [
+              0.0051838,
+              0.006287,
+              0.0091276,
+              0.0180885,
+              0.0440563,
+              0.1220288,
+              0.3568579
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.361643,
+    "max_transition": 9.993377,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00149803,
+            0.00448821,
+            0.013447,
+            0.0402881,
+            0.120706,
+            0.361643
+          ],
+          "values": [
+            [
+              1.7189732,
+              1.7558185,
+              1.8325753,
+              1.9702522,
+              2.2392777,
+              2.8170868,
+              4.3220831
+            ],
+            [
+              1.7246553,
+              1.7628868,
+              1.8389695,
+              1.9785817,
+              2.245824,
+              2.8254223,
+              4.3307131
+            ],
+            [
+              1.7532736,
+              1.7906069,
+              1.8653117,
+              2.0073172,
+              2.2768074,
+              2.8471316,
+              4.3561315
+            ],
+            [
+              1.874888,
+              1.9122317,
+              1.9887567,
+              2.1289954,
+              2.3973776,
+              2.9744334,
+              4.4823856
+            ],
+            [
+              2.3084248,
+              2.3456419,
+              2.4219637,
+              2.5599149,
+              2.8334939,
+              3.4069916,
+              4.9152864
+            ],
+            [
+              3.6130903,
+              3.6506427,
+              3.7267385,
+              3.8671333,
+              4.1373718,
+              4.7140176,
+              6.2179648
+            ],
+            [
+              7.4453661,
+              7.4859567,
+              7.566844,
+              7.7148021,
+              7.9949309,
+              8.5782794,
+              10.0861381
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00149803,
+            0.00448821,
+            0.013447,
+            0.0402881,
+            0.120706,
+            0.361643
+          ],
+          "values": [
+            [
+              0.2244123,
+              0.2495817,
+              0.3181063,
+              0.5098401,
+              1.0648288,
+              2.7080482,
+              7.6127895
+            ],
+            [
+              0.2353402,
+              0.2604814,
+              0.3290305,
+              0.5210511,
+              1.0759093,
+              2.7200042,
+              7.6240774
+            ],
+            [
+              0.2705275,
+              0.2954802,
+              0.3636901,
+              0.5553781,
+              1.110487,
+              2.7528797,
+              7.6574143
+            ],
+            [
+              0.3871368,
+              0.4118733,
+              0.4793922,
+              0.6705597,
+              1.2254365,
+              2.8675804,
+              7.772301
+            ],
+            [
+              0.7262754,
+              0.750897,
+              0.8182325,
+              1.0072136,
+              1.5606045,
+              3.2060681,
+              8.1095255
+            ],
+            [
+              1.5367925,
+              1.5643639,
+              1.6338038,
+              1.8222052,
+              2.3759019,
+              4.0159516,
+              8.9242311
+            ],
+            [
+              3.4351009,
+              3.4759824,
+              3.5655388,
+              3.7597574,
+              4.3120556,
+              5.9514345,
+              10.8623475
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00149803,
+            0.00448821,
+            0.013447,
+            0.0402881,
+            0.120706,
+            0.361643
+          ],
+          "values": [
+            [
+              0.1511201,
+              0.1682637,
+              0.2074029,
+              0.3021054,
+              0.5233914,
+              1.1173157,
+              3.0065297
+            ],
+            [
+              0.1535147,
+              0.1681312,
+              0.208629,
+              0.2980409,
+              0.5232334,
+              1.1133717,
+              2.9960863
+            ],
+            [
+              0.152133,
+              0.1680131,
+              0.2082292,
+              0.2970671,
+              0.517401,
+              1.115334,
+              2.9964924
+            ],
+            [
+              0.1511582,
+              0.1677259,
+              0.2075324,
+              0.2991327,
+              0.5207076,
+              1.1154065,
+              3.0038751
+            ],
+            [
+              0.1523269,
+              0.1676399,
+              0.20724,
+              0.2998315,
+              0.518062,
+              1.1202871,
+              3.0138487
+            ],
+            [
+              0.1510604,
+              0.1692494,
+              0.2059729,
+              0.2986517,
+              0.5190051,
+              1.1162622,
+              3.0084892
+            ],
+            [
+              0.1730056,
+              0.1848423,
+              0.223053,
+              0.3174762,
+              0.5389164,
+              1.1322843,
+              3.0132539
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00149803,
+            0.00448821,
+            0.013447,
+            0.0402881,
+            0.120706,
+            0.361643
+          ],
+          "values": [
+            [
+              0.0666059,
+              0.0946752,
+              0.1775597,
+              0.4244075,
+              1.160362,
+              3.3700804,
+              9.9816901
+            ],
+            [
+              0.0664847,
+              0.0946185,
+              0.1780014,
+              0.4217635,
+              1.1687803,
+              3.3549621,
+              9.9592441
+            ],
+            [
+              0.0664012,
+              0.0940959,
+              0.1768747,
+              0.4255324,
+              1.1589277,
+              3.3715433,
+              9.9782174
+            ],
+            [
+              0.0657487,
+              0.0931452,
+              0.175847,
+              0.4252358,
+              1.1597328,
+              3.3721315,
+              9.9783382
+            ],
+            [
+              0.0676747,
+              0.0944258,
+              0.174831,
+              0.4233733,
+              1.1692328,
+              3.3545637,
+              9.9419086
+            ],
+            [
+              0.0822606,
+              0.1072234,
+              0.1813151,
+              0.4246546,
+              1.1563563,
+              3.3761618,
+              9.9842481
+            ],
+            [
+              0.1419282,
+              0.1653944,
+              0.2321693,
+              0.4410407,
+              1.1684894,
+              3.3965752,
+              9.9871968
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00149803,
+            0.00448821,
+            0.013447,
+            0.0402881,
+            0.120706,
+            0.361643
+          ],
+          "values": [
+            [
+              1.841691,
+              1.8795068,
+              1.9551165,
+              2.0943223,
+              2.3648619,
+              2.9425884,
+              4.4522479
+            ],
+            [
+              1.8491567,
+              1.8856392,
+              1.9624427,
+              2.101801,
+              2.3724724,
+              2.9502023,
+              4.4601625
+            ],
+            [
+              1.8816553,
+              1.9192687,
+              1.9957664,
+              2.1363096,
+              2.4049513,
+              2.9827483,
+              4.4920214
+            ],
+            [
+              2.0132498,
+              2.050859,
+              2.1261248,
+              2.2691862,
+              2.5385161,
+              3.1152181,
+              4.6240802
+            ],
+            [
+              2.4325835,
+              2.4712117,
+              2.5475624,
+              2.6849472,
+              2.956273,
+              3.5338537,
+              5.0437374
+            ],
+            [
+              3.6651946,
+              3.7029479,
+              3.7790446,
+              3.919761,
+              4.1879464,
+              4.7661,
+              6.2754899
+            ],
+            [
+              7.2881949,
+              7.328715,
+              7.4079085,
+              7.5555455,
+              7.8367091,
+              8.4224965,
+              9.9322754
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00149803,
+            0.00448821,
+            0.013447,
+            0.0402881,
+            0.120706,
+            0.361643
+          ],
+          "values": [
+            [
+              0.230756,
+              0.2558687,
+              0.324427,
+              0.5161773,
+              1.0717028,
+              2.7124663,
+              7.6154558
+            ],
+            [
+              0.2431069,
+              0.2682097,
+              0.3366753,
+              0.5285049,
+              1.0831281,
+              2.7275984,
+              7.6320941
+            ],
+            [
+              0.2808266,
+              0.3058,
+              0.3740867,
+              0.5656479,
+              1.1199081,
+              2.7648273,
+              7.6699784
+            ],
+            [
+              0.3956674,
+              0.4204627,
+              0.4882589,
+              0.6797491,
+              1.2349508,
+              2.8748668,
+              7.7779836
+            ],
+            [
+              0.73819,
+              0.7632428,
+              0.8304053,
+              1.0202503,
+              1.5735759,
+              3.2194276,
+              8.1242231
+            ],
+            [
+              1.6185774,
+              1.6460798,
+              1.7159496,
+              1.9052326,
+              2.4592597,
+              4.1028572,
+              8.9983914
+            ],
+            [
+              3.7949612,
+              3.8341499,
+              3.9189554,
+              4.1146651,
+              4.6662443,
+              6.3150027,
+              11.2194076
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00149803,
+            0.00448821,
+            0.013447,
+            0.0402881,
+            0.120706,
+            0.361643
+          ],
+          "values": [
+            [
+              0.1527651,
+              0.1705051,
+              0.2082077,
+              0.2991291,
+              0.5219126,
+              1.1198465,
+              3.000975
+            ],
+            [
+              0.1528082,
+              0.1718936,
+              0.2084402,
+              0.2991072,
+              0.5218859,
+              1.1197827,
+              2.9998146
+            ],
+            [
+              0.1526334,
+              0.1691718,
+              0.2069609,
+              0.299354,
+              0.5229303,
+              1.12034,
+              3.0027478
+            ],
+            [
+              0.1528929,
+              0.1688749,
+              0.2094807,
+              0.3008465,
+              0.5190404,
+              1.1144956,
+              3.0097771
+            ],
+            [
+              0.1521363,
+              0.168982,
+              0.2074257,
+              0.3016106,
+              0.5209744,
+              1.1158214,
+              3.0013931
+            ],
+            [
+              0.1531305,
+              0.1692448,
+              0.2078695,
+              0.2986706,
+              0.5209345,
+              1.1173544,
+              2.9995331
+            ],
+            [
+              0.1676172,
+              0.1858474,
+              0.2276629,
+              0.3189659,
+              0.5362451,
+              1.1311013,
+              3.0205896
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00149803,
+            0.00448821,
+            0.013447,
+            0.0402881,
+            0.120706,
+            0.361643
+          ],
+          "values": [
+            [
+              0.0665882,
+              0.0943933,
+              0.1767427,
+              0.425813,
+              1.1629897,
+              3.3853511,
+              9.9661515
+            ],
+            [
+              0.0663875,
+              0.0945015,
+              0.177897,
+              0.4215323,
+              1.1686956,
+              3.3547412,
+              9.9637223
+            ],
+            [
+              0.0662695,
+              0.0942338,
+              0.1776018,
+              0.4206814,
+              1.1676744,
+              3.3532548,
+              9.9778177
+            ],
+            [
+              0.065505,
+              0.0934405,
+              0.1749588,
+              0.4258135,
+              1.1571055,
+              3.3841143,
+              9.9659276
+            ],
+            [
+              0.0681089,
+              0.0946628,
+              0.1742961,
+              0.4236227,
+              1.1673921,
+              3.3538181,
+              9.9606252
+            ],
+            [
+              0.0801569,
+              0.1058265,
+              0.1811674,
+              0.425664,
+              1.1563467,
+              3.3734699,
+              9.9887529
+            ],
+            [
+              0.1230407,
+              0.147623,
+              0.2167772,
+              0.4347145,
+              1.1650736,
+              3.3621994,
+              9.9767341
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00149803,
+            0.00448821,
+            0.013447,
+            0.0402881,
+            0.120706,
+            0.361643
+          ],
+          "values": [
+            [
+              1.7945,
+              1.8323326,
+              1.9083288,
+              2.0482065,
+              2.3186879,
+              2.8964584,
+              4.4051172
+            ],
+            [
+              1.7959416,
+              1.8336439,
+              1.9096018,
+              2.0491706,
+              2.3196176,
+              2.8977714,
+              4.4068527
+            ],
+            [
+              1.8195477,
+              1.8572514,
+              1.9332247,
+              2.0714934,
+              2.3439339,
+              2.9214753,
+              4.4296598
+            ],
+            [
+              1.9375426,
+              1.9750872,
+              2.0510376,
+              2.1915212,
+              2.4611897,
+              3.0387819,
+              4.548554
+            ],
+            [
+              2.3549587,
+              2.3927405,
+              2.4685358,
+              2.6078604,
+              2.8787746,
+              3.4568013,
+              4.9664703
+            ],
+            [
+              3.6568892,
+              3.6942032,
+              3.7706203,
+              3.9122456,
+              4.1801616,
+              4.7584763,
+              6.2679297
+            ],
+            [
+              7.6160287,
+              7.6612832,
+              7.7428526,
+              7.888568,
+              8.166916,
+              8.7522389,
+              10.2612772
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00149803,
+            0.00448821,
+            0.013447,
+            0.0402881,
+            0.120706,
+            0.361643
+          ],
+          "values": [
+            [
+              0.153386,
+              0.1764252,
+              0.2408405,
+              0.427076,
+              0.9750221,
+              2.6119904,
+              7.506431
+            ],
+            [
+              0.1655469,
+              0.1884932,
+              0.2530623,
+              0.438899,
+              0.9879263,
+              2.6246172,
+              7.5280218
+            ],
+            [
+              0.203955,
+              0.2266864,
+              0.2910834,
+              0.4770366,
+              1.0243755,
+              2.6651235,
+              7.5612743
+            ],
+            [
+              0.3253813,
+              0.3477939,
+              0.4115141,
+              0.5977364,
+              1.1460513,
+              2.7860898,
+              7.6777065
+            ],
+            [
+              0.6474968,
+              0.6707911,
+              0.73491,
+              0.9202314,
+              1.4688648,
+              3.1070797,
+              8.0123783
+            ],
+            [
+              1.4191339,
+              1.4470083,
+              1.5151993,
+              1.7005175,
+              2.2523565,
+              3.8895998,
+              8.7805336
+            ],
+            [
+              3.2398924,
+              3.2857801,
+              3.3820193,
+              3.5834358,
+              4.130317,
+              5.7689755,
+              10.6671552
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00149803,
+            0.00448821,
+            0.013447,
+            0.0402881,
+            0.120706,
+            0.361643
+          ],
+          "values": [
+            [
+              0.153935,
+              0.1698639,
+              0.2069448,
+              0.3025816,
+              0.520031,
+              1.1162209,
+              3.017804
+            ],
+            [
+              0.1532499,
+              0.170268,
+              0.2096683,
+              0.3029117,
+              0.520472,
+              1.1157973,
+              3.0212051
+            ],
+            [
+              0.1525951,
+              0.1704142,
+              0.20958,
+              0.2993629,
+              0.5202469,
+              1.1146736,
+              3.0039207
+            ],
+            [
+              0.1526106,
+              0.1693245,
+              0.2079266,
+              0.2993077,
+              0.5221793,
+              1.1205976,
+              3.0022296
+            ],
+            [
+              0.1527978,
+              0.1705645,
+              0.2094992,
+              0.301703,
+              0.5208981,
+              1.114288,
+              3.004865
+            ],
+            [
+              0.1540401,
+              0.1704575,
+              0.2084988,
+              0.2983118,
+              0.5209091,
+              1.1172038,
+              2.9996259
+            ],
+            [
+              0.1706893,
+              0.1866103,
+              0.2259206,
+              0.315936,
+              0.5324473,
+              1.1288599,
+              3.0216729
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00149803,
+            0.00448821,
+            0.013447,
+            0.0402881,
+            0.120706,
+            0.361643
+          ],
+          "values": [
+            [
+              0.058177,
+              0.0851988,
+              0.1660689,
+              0.4114556,
+              1.1447401,
+              3.3608696,
+              9.9718367
+            ],
+            [
+              0.0578998,
+              0.08503,
+              0.165736,
+              0.4145663,
+              1.148,
+              3.3602741,
+              9.980937
+            ],
+            [
+              0.0579942,
+              0.084798,
+              0.1677769,
+              0.4105225,
+              1.1613311,
+              3.3499472,
+              9.9384625
+            ],
+            [
+              0.0574377,
+              0.084393,
+              0.1666231,
+              0.4103945,
+              1.1498335,
+              3.3656569,
+              9.9821396
+            ],
+            [
+              0.0625887,
+              0.0883705,
+              0.1679273,
+              0.4136883,
+              1.1460886,
+              3.3702015,
+              9.9581365
+            ],
+            [
+              0.0837532,
+              0.1070961,
+              0.1782299,
+              0.4168768,
+              1.145247,
+              3.3628997,
+              9.980945
+            ],
+            [
+              0.1575222,
+              0.1797435,
+              0.2413743,
+              0.4411274,
+              1.1578397,
+              3.361265,
+              9.9648183
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00149803,
+            0.00448821,
+            0.013447,
+            0.0402881,
+            0.120706,
+            0.361643
+          ],
+          "values": [
+            [
+              1.6707891,
+              1.7085058,
+              1.784431,
+              1.9226432,
+              2.1943938,
+              2.7722953,
+              4.280015
+            ],
+            [
+              1.6735902,
+              1.7103592,
+              1.7861551,
+              1.9275654,
+              2.197585,
+              2.7742986,
+              4.2830721
+            ],
+            [
+              1.6938028,
+              1.7312393,
+              1.80784,
+              1.9479438,
+              2.2183304,
+              2.7961173,
+              4.3042729
+            ],
+            [
+              1.8047256,
+              1.8425663,
+              1.9185293,
+              2.0572777,
+              2.328572,
+              2.906172,
+              4.4159418
+            ],
+            [
+              2.2125493,
+              2.250687,
+              2.3261009,
+              2.4672107,
+              2.734805,
+              3.3130512,
+              4.8224313
+            ],
+            [
+              3.5172196,
+              3.5543939,
+              3.6305161,
+              3.7696779,
+              4.040885,
+              4.6186233,
+              6.1285181
+            ],
+            [
+              7.5545614,
+              7.5959647,
+              7.6798381,
+              7.8297981,
+              8.1090636,
+              8.6941556,
+              10.2047393
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00149803,
+            0.00448821,
+            0.013447,
+            0.0402881,
+            0.120706,
+            0.361643
+          ],
+          "values": [
+            [
+              0.1488437,
+              0.1712208,
+              0.2347753,
+              0.4197701,
+              0.9677164,
+              2.604776,
+              7.5059203
+            ],
+            [
+              0.161015,
+              0.183392,
+              0.2470848,
+              0.4320975,
+              0.9800292,
+              2.6167992,
+              7.518282
+            ],
+            [
+              0.199398,
+              0.2216786,
+              0.285114,
+              0.4697629,
+              1.0169158,
+              2.656267,
+              7.5433872
+            ],
+            [
+              0.3199239,
+              0.3419982,
+              0.4052316,
+              0.5899603,
+              1.137897,
+              2.7758182,
+              7.6717175
+            ],
+            [
+              0.6364982,
+              0.6596722,
+              0.7233363,
+              0.9079785,
+              1.4582706,
+              3.0948997,
+              7.9985112
+            ],
+            [
+              1.3904515,
+              1.4185951,
+              1.4870939,
+              1.6718226,
+              2.2201767,
+              3.8634789,
+              8.7545331
+            ],
+            [
+              3.1641572,
+              3.2122665,
+              3.3113041,
+              3.5145766,
+              4.0617594,
+              5.6961817,
+              10.5947713
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00149803,
+            0.00448821,
+            0.013447,
+            0.0402881,
+            0.120706,
+            0.361643
+          ],
+          "values": [
+            [
+              0.1528802,
+              0.170568,
+              0.2095913,
+              0.2992706,
+              0.5189295,
+              1.1180594,
+              3.012496
+            ],
+            [
+              0.1521377,
+              0.1688485,
+              0.2083686,
+              0.2986392,
+              0.5193324,
+              1.1196544,
+              3.0028484
+            ],
+            [
+              0.1526159,
+              0.1691568,
+              0.2069553,
+              0.3025022,
+              0.5201128,
+              1.1159399,
+              3.0029921
+            ],
+            [
+              0.1537288,
+              0.1698734,
+              0.2080528,
+              0.3024768,
+              0.5219312,
+              1.1204219,
+              3.0029648
+            ],
+            [
+              0.1526199,
+              0.1700008,
+              0.207713,
+              0.2980888,
+              0.5210918,
+              1.1173359,
+              2.9996148
+            ],
+            [
+              0.1523806,
+              0.1695441,
+              0.2075611,
+              0.3027795,
+              0.5222329,
+              1.1204363,
+              3.0005478
+            ],
+            [
+              0.1771888,
+              0.1933557,
+              0.2305519,
+              0.3226771,
+              0.5383526,
+              1.1308309,
+              3.0226892
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00149803,
+            0.00448821,
+            0.013447,
+            0.0402881,
+            0.120706,
+            0.361643
+          ],
+          "values": [
+            [
+              0.0566243,
+              0.0835191,
+              0.1655204,
+              0.4101648,
+              1.1475694,
+              3.3432628,
+              9.9491579
+            ],
+            [
+              0.05663,
+              0.0834629,
+              0.1654422,
+              0.4099688,
+              1.1475636,
+              3.342399,
+              9.9520774
+            ],
+            [
+              0.0563402,
+              0.0831819,
+              0.1633901,
+              0.4141083,
+              1.1582488,
+              3.3653891,
+              9.9779456
+            ],
+            [
+              0.0566341,
+              0.082985,
+              0.1634228,
+              0.4081108,
+              1.1419852,
+              3.3656331,
+              9.9657953
+            ],
+            [
+              0.0626784,
+              0.0877688,
+              0.1665511,
+              0.4127693,
+              1.1461264,
+              3.3515422,
+              9.9616022
+            ],
+            [
+              0.0858544,
+              0.1085638,
+              0.1784432,
+              0.4152761,
+              1.143909,
+              3.3541954,
+              9.9572492
+            ],
+            [
+              0.1651074,
+              0.1887634,
+              0.2478687,
+              0.4444781,
+              1.1606625,
+              3.3466521,
+              9.9517949
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00149803,
+            0.00448821,
+            0.013447,
+            0.0402881,
+            0.120706,
+            0.361643
+          ],
+          "values": [
+            [
+              1.4929157,
+              1.5294118,
+              1.608025,
+              1.7486027,
+              2.0175333,
+              2.5952795,
+              4.1041197
+            ],
+            [
+              1.4924347,
+              1.5289108,
+              1.6062708,
+              1.7469197,
+              2.0155657,
+              2.5933196,
+              4.1032089
+            ],
+            [
+              1.5053486,
+              1.5427301,
+              1.6195207,
+              1.7596153,
+              2.029291,
+              2.6079321,
+              4.114932
+            ],
+            [
+              1.6059196,
+              1.6444935,
+              1.7201554,
+              1.8620553,
+              2.1305335,
+              2.7086231,
+              4.2173114
+            ],
+            [
+              1.9752944,
+              2.0128814,
+              2.0893565,
+              2.2298907,
+              2.4981022,
+              3.0759693,
+              4.5858459
+            ],
+            [
+              3.1986025,
+              3.2360732,
+              3.3107079,
+              3.4523755,
+              3.7227044,
+              4.2991806,
+              5.8068665
+            ],
+            [
+              6.9542123,
+              7.0014425,
+              7.093119,
+              7.2522024,
+              7.532301,
+              8.1138891,
+              9.6270334
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00149803,
+            0.00448821,
+            0.013447,
+            0.0402881,
+            0.120706,
+            0.361643
+          ],
+          "values": [
+            [
+              0.1400304,
+              0.1620623,
+              0.2246723,
+              0.4071316,
+              0.9543774,
+              2.586763,
+              7.490919
+            ],
+            [
+              0.1520582,
+              0.1741814,
+              0.23683,
+              0.4201587,
+              0.9652849,
+              2.5997458,
+              7.4924467
+            ],
+            [
+              0.1907901,
+              0.2126492,
+              0.2753945,
+              0.4591511,
+              1.0045976,
+              2.6371998,
+              7.5350366
+            ],
+            [
+              0.310812,
+              0.3326016,
+              0.3953361,
+              0.5789445,
+              1.1263593,
+              2.7595719,
+              7.6678637
+            ],
+            [
+              0.6186345,
+              0.6417978,
+              0.7053065,
+              0.8895306,
+              1.4362888,
+              3.0777791,
+              7.9786222
+            ],
+            [
+              1.3555335,
+              1.3842144,
+              1.4530631,
+              1.6375787,
+              2.1888156,
+              3.8278203,
+              8.7177607
+            ],
+            [
+              3.0833234,
+              3.1341667,
+              3.237268,
+              3.4438299,
+              3.9900674,
+              5.6244729,
+              10.518928
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00149803,
+            0.00448821,
+            0.013447,
+            0.0402881,
+            0.120706,
+            0.361643
+          ],
+          "values": [
+            [
+              0.1526336,
+              0.1713688,
+              0.2091865,
+              0.2978736,
+              0.5201794,
+              1.1195933,
+              3.0008362
+            ],
+            [
+              0.1528131,
+              0.1703877,
+              0.2072203,
+              0.2994637,
+              0.521283,
+              1.1156569,
+              2.9997445
+            ],
+            [
+              0.1525513,
+              0.169255,
+              0.2069539,
+              0.3000405,
+              0.5193866,
+              1.1183918,
+              3.0031979
+            ],
+            [
+              0.1540472,
+              0.1704563,
+              0.2085007,
+              0.2977608,
+              0.5207398,
+              1.117342,
+              2.9993792
+            ],
+            [
+              0.153376,
+              0.1689323,
+              0.2073466,
+              0.3020653,
+              0.5212294,
+              1.1163169,
+              2.9995184
+            ],
+            [
+              0.1524745,
+              0.1700772,
+              0.2100583,
+              0.3011208,
+              0.5201337,
+              1.1165555,
+              3.019329
+            ],
+            [
+              0.2067567,
+              0.222508,
+              0.2612407,
+              0.3358568,
+              0.5430569,
+              1.1349517,
+              3.0343457
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0316228,
+            0.1,
+            0.316228,
+            1,
+            3.16228,
+            10
+          ],
+          "index_2": [
+            0.0005,
+            0.00149803,
+            0.00448821,
+            0.013447,
+            0.0402881,
+            0.120706,
+            0.361643
+          ],
+          "values": [
+            [
+              0.0557569,
+              0.0823671,
+              0.1628678,
+              0.4080011,
+              1.1508595,
+              3.3369085,
+              9.9603055
+            ],
+            [
+              0.0557557,
+              0.0823705,
+              0.163698,
+              0.4084073,
+              1.1430958,
+              3.3662544,
+              9.9306101
+            ],
+            [
+              0.0557522,
+              0.0825253,
+              0.1623541,
+              0.4088728,
+              1.1431303,
+              3.3537502,
+              9.938665
+            ],
+            [
+              0.0560819,
+              0.0821541,
+              0.1626039,
+              0.4104925,
+              1.1569642,
+              3.3370329,
+              9.956409
+            ],
+            [
+              0.0629688,
+              0.0879723,
+              0.1665606,
+              0.4126261,
+              1.1470165,
+              3.3528356,
+              9.9682804
+            ],
+            [
+              0.0889157,
+              0.111032,
+              0.1797464,
+              0.4139213,
+              1.148692,
+              3.35116,
+              9.976187
+            ],
+            [
+              0.1758392,
+              0.1988892,
+              0.2577241,
+              0.4476136,
+              1.1538663,
+              3.3610512,
+              9.9933768
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_n40C_1v44.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_n40C_1v44.lib.json
new file mode 100644
index 0000000..19d6fd8
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_n40C_1v44.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 8.985931e-05,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 0.0001285,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0001731,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0001279,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 2.1155278e-05,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.000128,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 2.2633367e-05,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0001279,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 2.109314e-05,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0001285,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0001833,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0001279,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 2.1155278e-05,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.000128,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 2.2633367e-05,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0001279,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 2.109314e-05,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0001285,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0001812,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0001279,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 2.1155278e-05,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.000128,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 2.2633367e-05,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0001279,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 2.109314e-05,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0001297,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 2.8159602e-05,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0001279,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 2.1056956e-05,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.000128,
+      "when": "A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 2.2501312e-05,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0001279,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 2.1091662e-05,
+      "when": "A1&A2&B1&C1&!D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.00215,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.00207,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          0.0026842,
+          0.0026771,
+          0.0026571,
+          0.0026595,
+          0.0026661,
+          0.0026847,
+          0.0027372
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          -0.0023399,
+          -0.0023407,
+          -0.0023428,
+          -0.0023389,
+          -0.0023276,
+          -0.0022959,
+          -0.0022067
+        ]
+      }
+    },
+    "max_transition": 5.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002229
+  },
+  "pin A2": {
+    "capacitance": 0.002022,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.001944,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          0.0022997,
+          0.0022995,
+          0.0022988,
+          0.0022998,
+          0.0023025,
+          0.00231,
+          0.0023314
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          -0.0022897,
+          -0.002287,
+          -0.0022795,
+          -0.0022809,
+          -0.0022848,
+          -0.0022958,
+          -0.002327
+        ]
+      }
+    },
+    "max_transition": 5.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.0021
+  },
+  "pin B1": {
+    "capacitance": 0.002188,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002085,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          0.0024039,
+          0.0024034,
+          0.0024021,
+          0.0024022,
+          0.0024026,
+          0.0024038,
+          0.0024072
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          -0.0021079,
+          -0.0021199,
+          -0.0021536,
+          -0.0021583,
+          -0.0021716,
+          -0.002209,
+          -0.0023144
+        ]
+      }
+    },
+    "max_transition": 5.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002291
+  },
+  "pin C1": {
+    "capacitance": 0.002158,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002051,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          0.0022439,
+          0.0022417,
+          0.0022356,
+          0.0022361,
+          0.0022373,
+          0.0022408,
+          0.0022507
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          -0.0021282,
+          -0.0021334,
+          -0.0021479,
+          -0.0021499,
+          -0.0021555,
+          -0.0021713,
+          -0.0022159
+        ]
+      }
+    },
+    "max_transition": 5.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002265
+  },
+  "pin D1": {
+    "capacitance": 0.002121,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.001978,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          0.0015762,
+          0.0015733,
+          0.0015653,
+          0.0015704,
+          0.0015846,
+          0.0016247,
+          0.0017377
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          -0.0013078,
+          -0.0013118,
+          -0.0013229,
+          -0.001323,
+          -0.0013235,
+          -0.0013249,
+          -0.0013289
+        ]
+      }
+    },
+    "max_transition": 5.0,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002264
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001359673,
+            0.003697423,
+            0.01005457,
+            0.02734187,
+            0.07435202,
+            0.2021889
+          ],
+          "values": [
+            [
+              0.0088151,
+              0.0081641,
+              0.0062144,
+              0.0004153,
+              -0.0164778,
+              -0.0646257,
+              -0.1970496
+            ],
+            [
+              0.0087524,
+              0.0081001,
+              0.0061637,
+              0.0003752,
+              -0.0165217,
+              -0.0646822,
+              -0.19711
+            ],
+            [
+              0.0086401,
+              0.008023,
+              0.0060717,
+              0.0003055,
+              -0.016588,
+              -0.0647622,
+              -0.19719
+            ],
+            [
+              0.0085361,
+              0.0078901,
+              0.0059515,
+              0.0001581,
+              -0.0167453,
+              -0.0649422,
+              -0.1973587
+            ],
+            [
+              0.0083959,
+              0.0077572,
+              0.0057788,
+              3.6e-06,
+              -0.0169357,
+              -0.0650749,
+              -0.1974971
+            ],
+            [
+              0.0083414,
+              0.0076838,
+              0.0057564,
+              -3.9e-06,
+              -0.0169679,
+              -0.0651028,
+              -0.1975213
+            ],
+            [
+              0.0082573,
+              0.0075821,
+              0.0056365,
+              -0.0001436,
+              -0.0170915,
+              -0.0652539,
+              -0.1976535
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001359673,
+            0.003697423,
+            0.01005457,
+            0.02734187,
+            0.07435202,
+            0.2021889
+          ],
+          "values": [
+            [
+              0.0087598,
+              0.0098105,
+              0.0125086,
+              0.0193676,
+              0.0376591,
+              0.0864649,
+              0.2177795
+            ],
+            [
+              0.0087452,
+              0.0097967,
+              0.0124943,
+              0.0194572,
+              0.0376636,
+              0.0861155,
+              0.2174914
+            ],
+            [
+              0.0087256,
+              0.0097641,
+              0.0124602,
+              0.0194164,
+              0.037622,
+              0.0861041,
+              0.2175764
+            ],
+            [
+              0.0086918,
+              0.0097282,
+              0.0123999,
+              0.0193444,
+              0.0375437,
+              0.0863547,
+              0.2177107
+            ],
+            [
+              0.008662,
+              0.0096616,
+              0.0122834,
+              0.01919,
+              0.0372365,
+              0.0853527,
+              0.2175785
+            ],
+            [
+              0.0089571,
+              0.0098673,
+              0.0123229,
+              0.019019,
+              0.036948,
+              0.0860622,
+              0.217431
+            ],
+            [
+              0.0090407,
+              0.0100364,
+              0.0124104,
+              0.0190391,
+              0.0369086,
+              0.0853883,
+              0.2171433
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001359673,
+            0.003697423,
+            0.01005457,
+            0.02734187,
+            0.07435202,
+            0.2021889
+          ],
+          "values": [
+            [
+              0.0103766,
+              0.0096915,
+              0.0077904,
+              0.001991,
+              -0.0149302,
+              -0.0630516,
+              -0.195437
+            ],
+            [
+              0.0103029,
+              0.0096472,
+              0.0077104,
+              0.0019219,
+              -0.0149656,
+              -0.0630996,
+              -0.1954721
+            ],
+            [
+              0.0102398,
+              0.0096265,
+              0.0076809,
+              0.0018874,
+              -0.0150713,
+              -0.0631529,
+              -0.195531
+            ],
+            [
+              0.0101422,
+              0.0095324,
+              0.0075836,
+              0.0017983,
+              -0.0151398,
+              -0.0632444,
+              -0.1956262
+            ],
+            [
+              0.0100904,
+              0.0094462,
+              0.0074937,
+              0.0017105,
+              -0.0152554,
+              -0.0633345,
+              -0.195712
+            ],
+            [
+              0.0100667,
+              0.0093801,
+              0.0074349,
+              0.0017022,
+              -0.0152437,
+              -0.0633803,
+              -0.1957439
+            ],
+            [
+              0.0100057,
+              0.0093551,
+              0.0073775,
+              0.001574,
+              -0.0153124,
+              -0.0634414,
+              -0.1957995
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001359673,
+            0.003697423,
+            0.01005457,
+            0.02734187,
+            0.07435202,
+            0.2021889
+          ],
+          "values": [
+            [
+              0.0086906,
+              0.0097401,
+              0.0124383,
+              0.0192846,
+              0.0376005,
+              0.0864055,
+              0.2176453
+            ],
+            [
+              0.0086691,
+              0.0097084,
+              0.0124037,
+              0.0193216,
+              0.0375866,
+              0.0860112,
+              0.217286
+            ],
+            [
+              0.0086301,
+              0.0096687,
+              0.012366,
+              0.0193228,
+              0.0375265,
+              0.0859262,
+              0.2166675
+            ],
+            [
+              0.0085924,
+              0.0096261,
+              0.0123073,
+              0.0192593,
+              0.0374635,
+              0.0858264,
+              0.217457
+            ],
+            [
+              0.0085658,
+              0.009573,
+              0.012206,
+              0.0190729,
+              0.0373402,
+              0.0861514,
+              0.2175664
+            ],
+            [
+              0.0089031,
+              0.0098391,
+              0.0122627,
+              0.0189689,
+              0.0368492,
+              0.085667,
+              0.2172851
+            ],
+            [
+              0.0092006,
+              0.0101744,
+              0.0125837,
+              0.0192316,
+              0.0371439,
+              0.0855317,
+              0.2169164
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001359673,
+            0.003697423,
+            0.01005457,
+            0.02734187,
+            0.07435202,
+            0.2021889
+          ],
+          "values": [
+            [
+              0.0093278,
+              0.0086841,
+              0.006761,
+              0.0009793,
+              -0.0159292,
+              -0.0640556,
+              -0.1964138
+            ],
+            [
+              0.0092642,
+              0.0086224,
+              0.0067278,
+              0.0008983,
+              -0.0159884,
+              -0.0641226,
+              -0.1964819
+            ],
+            [
+              0.0092191,
+              0.0085305,
+              0.0065927,
+              0.0008022,
+              -0.0160808,
+              -0.0642174,
+              -0.1965867
+            ],
+            [
+              0.0090687,
+              0.0084614,
+              0.0064792,
+              0.0006946,
+              -0.0161758,
+              -0.0643361,
+              -0.1966947
+            ],
+            [
+              0.0090317,
+              0.0083744,
+              0.0064419,
+              0.0006557,
+              -0.0162475,
+              -0.064407,
+              -0.1967684
+            ],
+            [
+              0.0089181,
+              0.0082921,
+              0.006326,
+              0.0005322,
+              -0.0163653,
+              -0.0645154,
+              -0.1968727
+            ],
+            [
+              0.0088914,
+              0.0082363,
+              0.0062856,
+              0.0004868,
+              -0.0164892,
+              -0.0645708,
+              -0.196924
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001359673,
+            0.003697423,
+            0.01005457,
+            0.02734187,
+            0.07435202,
+            0.2021889
+          ],
+          "values": [
+            [
+              0.0079474,
+              0.0089424,
+              0.0114878,
+              0.0182011,
+              0.0361329,
+              0.0847849,
+              0.2159553
+            ],
+            [
+              0.0079591,
+              0.0089531,
+              0.0114976,
+              0.0183055,
+              0.0363058,
+              0.084652,
+              0.2152108
+            ],
+            [
+              0.0079923,
+              0.0089772,
+              0.0115336,
+              0.018264,
+              0.0359852,
+              0.0840573,
+              0.2161773
+            ],
+            [
+              0.007937,
+              0.0089112,
+              0.0114754,
+              0.0181874,
+              0.0361303,
+              0.084329,
+              0.2154174
+            ],
+            [
+              0.0078608,
+              0.0088143,
+              0.0113161,
+              0.0180293,
+              0.0357896,
+              0.084406,
+              0.2152141
+            ],
+            [
+              0.0079651,
+              0.0089248,
+              0.0113683,
+              0.0178081,
+              0.0357298,
+              0.0840681,
+              0.2155676
+            ],
+            [
+              0.0080367,
+              0.0089486,
+              0.0115051,
+              0.0180914,
+              0.0357852,
+              0.0844468,
+              0.2158239
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001359673,
+            0.003697423,
+            0.01005457,
+            0.02734187,
+            0.07435202,
+            0.2021889
+          ],
+          "values": [
+            [
+              0.0084881,
+              0.0078448,
+              0.005907,
+              9.19e-05,
+              -0.0168447,
+              -0.0649401,
+              -0.1973015
+            ],
+            [
+              0.0083819,
+              0.0077416,
+              0.0058347,
+              3.96e-05,
+              -0.0168777,
+              -0.0650039,
+              -0.1973778
+            ],
+            [
+              0.0082991,
+              0.0076963,
+              0.0057506,
+              -2.6e-05,
+              -0.0169843,
+              -0.065088,
+              -0.197475
+            ],
+            [
+              0.0082331,
+              0.0075523,
+              0.0056497,
+              -0.0001583,
+              -0.0171197,
+              -0.0651965,
+              -0.1975688
+            ],
+            [
+              0.0081564,
+              0.0074863,
+              0.0055504,
+              -0.0002142,
+              -0.0171419,
+              -0.0652804,
+              -0.1976438
+            ],
+            [
+              0.0080178,
+              0.0073716,
+              0.0054182,
+              -0.000366,
+              -0.0172582,
+              -0.0653915,
+              -0.1977608
+            ],
+            [
+              0.0079914,
+              0.0073784,
+              0.0053952,
+              -0.0004078,
+              -0.0173312,
+              -0.065469,
+              -0.1978148
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001359673,
+            0.003697423,
+            0.01005457,
+            0.02734187,
+            0.07435202,
+            0.2021889
+          ],
+          "values": [
+            [
+              0.0076696,
+              0.0086254,
+              0.0111515,
+              0.017863,
+              0.0354293,
+              0.0842575,
+              0.2154229
+            ],
+            [
+              0.0076825,
+              0.0086389,
+              0.0111564,
+              0.0177533,
+              0.0358306,
+              0.0839252,
+              0.2154827
+            ],
+            [
+              0.0076848,
+              0.0086354,
+              0.0111696,
+              0.0177816,
+              0.0358292,
+              0.0840742,
+              0.2147969
+            ],
+            [
+              0.0076267,
+              0.0085721,
+              0.0110716,
+              0.0176546,
+              0.0356126,
+              0.0842153,
+              0.2154907
+            ],
+            [
+              0.0074929,
+              0.0084317,
+              0.0108961,
+              0.0175617,
+              0.0356367,
+              0.0833736,
+              0.2145577
+            ],
+            [
+              0.0074396,
+              0.0083986,
+              0.0108495,
+              0.0173466,
+              0.0350698,
+              0.0837446,
+              0.2151096
+            ],
+            [
+              0.0072871,
+              0.0082717,
+              0.0107884,
+              0.0173829,
+              0.0353337,
+              0.0830151,
+              0.2149919
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001359673,
+            0.003697423,
+            0.01005457,
+            0.02734187,
+            0.07435202,
+            0.2021889
+          ],
+          "values": [
+            [
+              0.0083812,
+              0.0077178,
+              0.0057648,
+              -1.95e-05,
+              -0.0168947,
+              -0.0650563,
+              -0.1974267
+            ],
+            [
+              0.0082752,
+              0.0076467,
+              0.0057163,
+              -8.43e-05,
+              -0.0170001,
+              -0.0651097,
+              -0.1975002
+            ],
+            [
+              0.0081939,
+              0.0075142,
+              0.0056042,
+              -0.0001856,
+              -0.0171076,
+              -0.0652209,
+              -0.1976053
+            ],
+            [
+              0.008069,
+              0.0074241,
+              0.0054721,
+              -0.0003214,
+              -0.0172248,
+              -0.0653726,
+              -0.1977254
+            ],
+            [
+              0.0079509,
+              0.0073192,
+              0.0053703,
+              -0.0004437,
+              -0.0173328,
+              -0.0654668,
+              -0.1978297
+            ],
+            [
+              0.0077614,
+              0.0071623,
+              0.0052031,
+              -0.0005627,
+              -0.0175214,
+              -0.0656581,
+              -0.198014
+            ],
+            [
+              0.0076253,
+              0.0069731,
+              0.0050224,
+              -0.0008148,
+              -0.0177331,
+              -0.0658708,
+              -0.1981955
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001359673,
+            0.003697423,
+            0.01005457,
+            0.02734187,
+            0.07435202,
+            0.2021889
+          ],
+          "values": [
+            [
+              0.0062245,
+              0.0071402,
+              0.0096087,
+              0.0162186,
+              0.0339108,
+              0.0819305,
+              0.2131331
+            ],
+            [
+              0.0062321,
+              0.0071549,
+              0.009621,
+              0.0160859,
+              0.0339183,
+              0.0824914,
+              0.2128842
+            ],
+            [
+              0.0062191,
+              0.0071338,
+              0.009611,
+              0.0161184,
+              0.0341688,
+              0.0817322,
+              0.213978
+            ],
+            [
+              0.0060886,
+              0.0070125,
+              0.0094412,
+              0.0160172,
+              0.0338759,
+              0.0816651,
+              0.2137127
+            ],
+            [
+              0.0059002,
+              0.0068277,
+              0.0092458,
+              0.0158746,
+              0.0337889,
+              0.0821563,
+              0.2136835
+            ],
+            [
+              0.0057415,
+              0.0066893,
+              0.0091611,
+              0.0156179,
+              0.0332341,
+              0.0813131,
+              0.2130118
+            ],
+            [
+              0.0055382,
+              0.0064108,
+              0.0089795,
+              0.0155776,
+              0.0335287,
+              0.0817203,
+              0.2127025
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.202189,
+    "max_transition": 4.988245,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135967,
+            0.00369742,
+            0.0100546,
+            0.0273419,
+            0.074352,
+            0.202189
+          ],
+          "values": [
+            [
+              1.4464454,
+              1.474517,
+              1.531105,
+              1.6293179,
+              1.8103009,
+              2.1533366,
+              2.9084768
+            ],
+            [
+              1.4517267,
+              1.4799347,
+              1.536408,
+              1.6348658,
+              1.8158054,
+              2.1588198,
+              2.9153164
+            ],
+            [
+              1.4707811,
+              1.4992422,
+              1.556164,
+              1.654102,
+              1.8355363,
+              2.1745321,
+              2.9386391
+            ],
+            [
+              1.5480489,
+              1.5767175,
+              1.6324065,
+              1.7305006,
+              1.9110227,
+              2.2540156,
+              3.0174387
+            ],
+            [
+              1.7991594,
+              1.8277569,
+              1.8835293,
+              1.9838369,
+              2.1606055,
+              2.5067064,
+              3.2710634
+            ],
+            [
+              2.4843312,
+              2.5132993,
+              2.5691256,
+              2.6685838,
+              2.8489586,
+              3.1872567,
+              3.9523398
+            ],
+            [
+              4.2947805,
+              4.323323,
+              4.3776445,
+              4.4803423,
+              4.658788,
+              5.0037006,
+              5.7675456
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135967,
+            0.00369742,
+            0.0100546,
+            0.0273419,
+            0.074352,
+            0.202189
+          ],
+          "values": [
+            [
+              0.2034024,
+              0.2230804,
+              0.2717019,
+              0.3943263,
+              0.7145808,
+              1.5666385,
+              3.877588
+            ],
+            [
+              0.2121804,
+              0.2317813,
+              0.2803808,
+              0.4032603,
+              0.7224025,
+              1.5770468,
+              3.8853263
+            ],
+            [
+              0.2388638,
+              0.2583649,
+              0.3068369,
+              0.4294282,
+              0.7483034,
+              1.6027525,
+              3.9127195
+            ],
+            [
+              0.3140658,
+              0.3333365,
+              0.3813076,
+              0.503256,
+              0.8222709,
+              1.6751733,
+              3.9870223
+            ],
+            [
+              0.5210998,
+              0.5403291,
+              0.5873845,
+              0.7079379,
+              1.0263825,
+              1.8793724,
+              4.1882466
+            ],
+            [
+              0.9788633,
+              0.9993223,
+              1.0476818,
+              1.168045,
+              1.4852003,
+              2.3406603,
+              4.6512199
+            ],
+            [
+              1.9475062,
+              1.973288,
+              2.0270401,
+              2.1493987,
+              2.4648146,
+              3.3177312,
+              5.626807
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135967,
+            0.00369742,
+            0.0100546,
+            0.0273419,
+            0.074352,
+            0.202189
+          ],
+          "values": [
+            [
+              0.1295652,
+              0.1436815,
+              0.1713656,
+              0.2353373,
+              0.3758102,
+              0.7025077,
+              1.5998984
+            ],
+            [
+              0.1297277,
+              0.1437473,
+              0.1714449,
+              0.2353676,
+              0.3758706,
+              0.7026215,
+              1.5993309
+            ],
+            [
+              0.1289709,
+              0.1421367,
+              0.1709462,
+              0.2354605,
+              0.3754949,
+              0.7049924,
+              1.6016707
+            ],
+            [
+              0.1290407,
+              0.1423024,
+              0.1713228,
+              0.2336767,
+              0.3767373,
+              0.7021348,
+              1.5986311
+            ],
+            [
+              0.1287132,
+              0.1421504,
+              0.1720001,
+              0.2341392,
+              0.3738078,
+              0.7016581,
+              1.5963167
+            ],
+            [
+              0.1286676,
+              0.1421967,
+              0.1711762,
+              0.2360967,
+              0.3710046,
+              0.7048638,
+              1.5994157
+            ],
+            [
+              0.1306043,
+              0.144899,
+              0.1763063,
+              0.2353594,
+              0.3765283,
+              0.7022408,
+              1.5983092
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135967,
+            0.00369742,
+            0.0100546,
+            0.0273419,
+            0.074352,
+            0.202189
+          ],
+          "values": [
+            [
+              0.0597526,
+              0.0812074,
+              0.1382683,
+              0.2937382,
+              0.7140197,
+              1.8718411,
+              4.9716027
+            ],
+            [
+              0.0599051,
+              0.0813226,
+              0.1386599,
+              0.2931067,
+              0.7178996,
+              1.8665143,
+              4.9774616
+            ],
+            [
+              0.0595771,
+              0.0811188,
+              0.1384073,
+              0.2935662,
+              0.7193757,
+              1.8615538,
+              4.9658038
+            ],
+            [
+              0.0591353,
+              0.0802814,
+              0.1376503,
+              0.2948698,
+              0.7198383,
+              1.8640844,
+              4.9479989
+            ],
+            [
+              0.0593931,
+              0.0802508,
+              0.1358384,
+              0.2929785,
+              0.7084895,
+              1.8778339,
+              4.9803131
+            ],
+            [
+              0.0673541,
+              0.0861277,
+              0.1398726,
+              0.2927468,
+              0.7120937,
+              1.862952,
+              4.9492508
+            ],
+            [
+              0.0934565,
+              0.1113674,
+              0.1603151,
+              0.299017,
+              0.7179146,
+              1.8553968,
+              4.9844977
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135967,
+            0.00369742,
+            0.0100546,
+            0.0273419,
+            0.074352,
+            0.202189
+          ],
+          "values": [
+            [
+              1.5580089,
+              1.5866718,
+              1.6429041,
+              1.7420293,
+              1.9227457,
+              2.2671276,
+              3.0329181
+            ],
+            [
+              1.5630447,
+              1.5924525,
+              1.6484685,
+              1.7490503,
+              1.9277209,
+              2.2738809,
+              3.0394296
+            ],
+            [
+              1.5900372,
+              1.6184468,
+              1.674501,
+              1.7731102,
+              1.9541748,
+              2.3000233,
+              3.0656577
+            ],
+            [
+              1.6725418,
+              1.7012943,
+              1.7574057,
+              1.8556263,
+              2.0366415,
+              2.382726,
+              3.1484768
+            ],
+            [
+              1.9167559,
+              1.9455688,
+              2.0017183,
+              2.1001156,
+              2.2811735,
+              2.6271876,
+              3.3929761
+            ],
+            [
+              2.5702291,
+              2.5988653,
+              2.6551981,
+              2.7549605,
+              2.9344296,
+              3.2799246,
+              4.0459369
+            ],
+            [
+              4.279586,
+              4.3089421,
+              4.365138,
+              4.4645763,
+              4.6454827,
+              4.9907416,
+              5.7559792
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135967,
+            0.00369742,
+            0.0100546,
+            0.0273419,
+            0.074352,
+            0.202189
+          ],
+          "values": [
+            [
+              0.2093802,
+              0.229023,
+              0.2776231,
+              0.4000224,
+              0.7200969,
+              1.5715207,
+              3.8840522
+            ],
+            [
+              0.2195625,
+              0.2392129,
+              0.2876752,
+              0.4105607,
+              0.7300758,
+              1.5844601,
+              3.891814
+            ],
+            [
+              0.2471831,
+              0.2666639,
+              0.3151309,
+              0.4377494,
+              0.7567317,
+              1.6112063,
+              3.9205168
+            ],
+            [
+              0.3218,
+              0.3413481,
+              0.3893988,
+              0.5116789,
+              0.8304828,
+              1.6849279,
+              3.9946757
+            ],
+            [
+              0.5261911,
+              0.5453448,
+              0.5927741,
+              0.7138892,
+              1.032296,
+              1.8862001,
+              4.1974388
+            ],
+            [
+              1.0109562,
+              1.031518,
+              1.080247,
+              1.201192,
+              1.5184505,
+              2.3732316,
+              4.679102
+            ],
+            [
+              2.0988355,
+              2.1226718,
+              2.1774826,
+              2.3009594,
+              2.6191342,
+              3.4731347,
+              5.7812537
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135967,
+            0.00369742,
+            0.0100546,
+            0.0273419,
+            0.074352,
+            0.202189
+          ],
+          "values": [
+            [
+              0.130523,
+              0.1438436,
+              0.1724861,
+              0.2368833,
+              0.3770091,
+              0.701732,
+              1.5998367
+            ],
+            [
+              0.1323756,
+              0.1444131,
+              0.1732414,
+              0.2354294,
+              0.3744443,
+              0.7010322,
+              1.6000542
+            ],
+            [
+              0.1302376,
+              0.1438543,
+              0.1728227,
+              0.239727,
+              0.3741524,
+              0.7036204,
+              1.5993467
+            ],
+            [
+              0.1304662,
+              0.143876,
+              0.1727141,
+              0.239901,
+              0.3737912,
+              0.7033837,
+              1.598812
+            ],
+            [
+              0.1304741,
+              0.1438374,
+              0.1727485,
+              0.2396044,
+              0.3741951,
+              0.7038455,
+              1.5968356
+            ],
+            [
+              0.1326004,
+              0.144645,
+              0.1731793,
+              0.2373013,
+              0.374291,
+              0.7015995,
+              1.6015625
+            ],
+            [
+              0.1322576,
+              0.1450747,
+              0.1735359,
+              0.2377579,
+              0.3751227,
+              0.70273,
+              1.5989484
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135967,
+            0.00369742,
+            0.0100546,
+            0.0273419,
+            0.074352,
+            0.202189
+          ],
+          "values": [
+            [
+              0.0596787,
+              0.0811552,
+              0.1384184,
+              0.2944214,
+              0.7191308,
+              1.8668835,
+              4.9502931
+            ],
+            [
+              0.0598275,
+              0.0810483,
+              0.1388231,
+              0.2944872,
+              0.7155419,
+              1.852055,
+              4.9783839
+            ],
+            [
+              0.0594984,
+              0.0810483,
+              0.1383114,
+              0.2928674,
+              0.7186826,
+              1.863893,
+              4.9719047
+            ],
+            [
+              0.0590757,
+              0.0801637,
+              0.1378143,
+              0.2927409,
+              0.71893,
+              1.8620617,
+              4.966402
+            ],
+            [
+              0.0592267,
+              0.0802538,
+              0.1369641,
+              0.2926649,
+              0.7196267,
+              1.8616813,
+              4.9488045
+            ],
+            [
+              0.0663599,
+              0.086243,
+              0.1400711,
+              0.2914182,
+              0.7171158,
+              1.8502095,
+              4.9882454
+            ],
+            [
+              0.0861111,
+              0.1058097,
+              0.1555825,
+              0.2978757,
+              0.7216217,
+              1.8524675,
+              4.9825237
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135967,
+            0.00369742,
+            0.0100546,
+            0.0273419,
+            0.074352,
+            0.202189
+          ],
+          "values": [
+            [
+              1.5160116,
+              1.5443963,
+              1.5994166,
+              1.6983075,
+              1.8785322,
+              2.2247642,
+              2.9905793
+            ],
+            [
+              1.5161786,
+              1.5460817,
+              1.6022873,
+              1.7017064,
+              1.881421,
+              2.2267479,
+              2.992752
+            ],
+            [
+              1.5333729,
+              1.561947,
+              1.6185204,
+              1.7189925,
+              1.8975013,
+              2.2436788,
+              3.009236
+            ],
+            [
+              1.6081073,
+              1.6367081,
+              1.6929821,
+              1.792506,
+              1.9723996,
+              2.3174502,
+              3.0834104
+            ],
+            [
+              1.8518984,
+              1.8806967,
+              1.9350443,
+              2.0359525,
+              2.2160314,
+              2.5609492,
+              3.3269334
+            ],
+            [
+              2.5190283,
+              2.5473259,
+              2.6029237,
+              2.7005327,
+              2.8826115,
+              3.2276695,
+              3.9929025
+            ],
+            [
+              4.3685358,
+              4.3975414,
+              4.4535207,
+              4.5522568,
+              4.7345514,
+              5.0801656,
+              5.8455405
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135967,
+            0.00369742,
+            0.0100546,
+            0.0273419,
+            0.074352,
+            0.202189
+          ],
+          "values": [
+            [
+              0.1399844,
+              0.1577391,
+              0.2029778,
+              0.3210179,
+              0.635108,
+              1.4850532,
+              3.790297
+            ],
+            [
+              0.1499471,
+              0.1676818,
+              0.2128927,
+              0.3306352,
+              0.6444125,
+              1.4948517,
+              3.7994701
+            ],
+            [
+              0.1780978,
+              0.1957433,
+              0.2408679,
+              0.3583741,
+              0.672509,
+              1.520289,
+              3.8240717
+            ],
+            [
+              0.2577086,
+              0.2750006,
+              0.3198058,
+              0.4371155,
+              0.7520227,
+              1.6009104,
+              3.9017092
+            ],
+            [
+              0.4571755,
+              0.4748891,
+              0.5197012,
+              0.6370455,
+              0.9516322,
+              1.8019297,
+              4.1062886
+            ],
+            [
+              0.8873028,
+              0.906953,
+              0.953613,
+              1.0712514,
+              1.3854297,
+              2.2358841,
+              4.5388577
+            ],
+            [
+              1.8067761,
+              1.8341245,
+              1.8917778,
+              2.0138975,
+              2.328405,
+              3.1774452,
+              5.4868559
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135967,
+            0.00369742,
+            0.0100546,
+            0.0273419,
+            0.074352,
+            0.202189
+          ],
+          "values": [
+            [
+              0.1308116,
+              0.1436316,
+              0.1742579,
+              0.2349602,
+              0.3771445,
+              0.7039179,
+              1.602669
+            ],
+            [
+              0.1303928,
+              0.1433043,
+              0.1723546,
+              0.2366058,
+              0.3748704,
+              0.7034563,
+              1.5985939
+            ],
+            [
+              0.1325763,
+              0.1446579,
+              0.1732877,
+              0.2353749,
+              0.3748772,
+              0.7007275,
+              1.6000657
+            ],
+            [
+              0.1312276,
+              0.1436377,
+              0.1719696,
+              0.2374865,
+              0.3775381,
+              0.7031178,
+              1.5986823
+            ],
+            [
+              0.1302826,
+              0.1433446,
+              0.1748833,
+              0.2369638,
+              0.3774626,
+              0.7036445,
+              1.5973501
+            ],
+            [
+              0.1309335,
+              0.1438197,
+              0.1744272,
+              0.2361767,
+              0.3753698,
+              0.7014074,
+              1.601935
+            ],
+            [
+              0.1316832,
+              0.1445261,
+              0.173072,
+              0.2352351,
+              0.372135,
+              0.7008694,
+              1.597208
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135967,
+            0.00369742,
+            0.0100546,
+            0.0273419,
+            0.074352,
+            0.202189
+          ],
+          "values": [
+            [
+              0.052017,
+              0.0727499,
+              0.1286076,
+              0.2823138,
+              0.7080074,
+              1.8450143,
+              4.9611999
+            ],
+            [
+              0.0519694,
+              0.0727093,
+              0.1287401,
+              0.2845861,
+              0.7071274,
+              1.8551325,
+              4.9685061
+            ],
+            [
+              0.0518392,
+              0.0724064,
+              0.1282607,
+              0.2840868,
+              0.7124446,
+              1.8551589,
+              4.9645879
+            ],
+            [
+              0.0514858,
+              0.0721854,
+              0.1281616,
+              0.2814498,
+              0.700323,
+              1.8437258,
+              4.9772972
+            ],
+            [
+              0.0539795,
+              0.0739372,
+              0.1286636,
+              0.2825465,
+              0.7015464,
+              1.8433661,
+              4.9735833
+            ],
+            [
+              0.0650565,
+              0.0831505,
+              0.1345813,
+              0.2834175,
+              0.7033553,
+              1.8416542,
+              4.9741065
+            ],
+            [
+              0.101063,
+              0.1179015,
+              0.1621783,
+              0.2962313,
+              0.7096979,
+              1.8576991,
+              4.9401558
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135967,
+            0.00369742,
+            0.0100546,
+            0.0273419,
+            0.074352,
+            0.202189
+          ],
+          "values": [
+            [
+              1.4064839,
+              1.4350198,
+              1.4914431,
+              1.5908511,
+              1.7704412,
+              2.1167719,
+              2.8819114
+            ],
+            [
+              1.4074597,
+              1.4364129,
+              1.493208,
+              1.5922562,
+              1.7729743,
+              2.118969,
+              2.8843459
+            ],
+            [
+              1.4231356,
+              1.451727,
+              1.5079213,
+              1.6074024,
+              1.7872948,
+              2.1334867,
+              2.8991138
+            ],
+            [
+              1.4924034,
+              1.5210067,
+              1.5771964,
+              1.6764318,
+              1.8540461,
+              2.2025008,
+              2.9674481
+            ],
+            [
+              1.7291758,
+              1.757999,
+              1.81333,
+              1.9143702,
+              2.0932398,
+              2.439202,
+              3.2045369
+            ],
+            [
+              2.3920776,
+              2.4205276,
+              2.4754476,
+              2.5754789,
+              2.7554001,
+              3.0996887,
+              3.8655075
+            ],
+            [
+              4.2880175,
+              4.3180908,
+              4.3700954,
+              4.4730064,
+              4.6531463,
+              4.9947468,
+              5.7604508
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135967,
+            0.00369742,
+            0.0100546,
+            0.0273419,
+            0.074352,
+            0.202189
+          ],
+          "values": [
+            [
+              0.1355478,
+              0.1528651,
+              0.1973109,
+              0.3137922,
+              0.6270773,
+              1.4766988,
+              3.7821137
+            ],
+            [
+              0.1457417,
+              0.1630103,
+              0.2075186,
+              0.3239144,
+              0.6367563,
+              1.4841741,
+              3.7899692
+            ],
+            [
+              0.1737839,
+              0.19103,
+              0.2354147,
+              0.3522538,
+              0.6650375,
+              1.5114986,
+              3.8122221
+            ],
+            [
+              0.2525264,
+              0.2696141,
+              0.3137848,
+              0.4304725,
+              0.7441145,
+              1.5944505,
+              3.8996957
+            ],
+            [
+              0.4481045,
+              0.4657459,
+              0.5102875,
+              0.6268013,
+              0.9405584,
+              1.7882177,
+              4.0909431
+            ],
+            [
+              0.8677629,
+              0.887744,
+              0.9344062,
+              1.0515993,
+              1.3661546,
+              2.2159579,
+              4.5224811
+            ],
+            [
+              1.7612978,
+              1.789751,
+              1.8479839,
+              1.9706123,
+              2.2842497,
+              3.1361627,
+              5.4385159
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135967,
+            0.00369742,
+            0.0100546,
+            0.0273419,
+            0.074352,
+            0.202189
+          ],
+          "values": [
+            [
+              0.1305006,
+              0.1439013,
+              0.1724199,
+              0.2369583,
+              0.3745939,
+              0.7042176,
+              1.5952969
+            ],
+            [
+              0.1313969,
+              0.1445522,
+              0.1721145,
+              0.2370045,
+              0.3770498,
+              0.7038563,
+              1.6010421
+            ],
+            [
+              0.1304641,
+              0.1437834,
+              0.1727244,
+              0.2367871,
+              0.3736768,
+              0.7036979,
+              1.5991682
+            ],
+            [
+              0.1305433,
+              0.1438519,
+              0.1724666,
+              0.2369195,
+              0.3740918,
+              0.7041666,
+              1.5956402
+            ],
+            [
+              0.1311854,
+              0.1446754,
+              0.1752763,
+              0.2359875,
+              0.3749257,
+              0.7011218,
+              1.5994446
+            ],
+            [
+              0.1317634,
+              0.1433325,
+              0.1721262,
+              0.235088,
+              0.3737488,
+              0.7032308,
+              1.5961873
+            ],
+            [
+              0.1320025,
+              0.1465928,
+              0.1747371,
+              0.2374976,
+              0.3748978,
+              0.7045228,
+              1.5988526
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135967,
+            0.00369742,
+            0.0100546,
+            0.0273419,
+            0.074352,
+            0.202189
+          ],
+          "values": [
+            [
+              0.0502652,
+              0.0705349,
+              0.1272799,
+              0.283908,
+              0.7001763,
+              1.8445779,
+              4.9448494
+            ],
+            [
+              0.0504404,
+              0.0707416,
+              0.1272691,
+              0.2802598,
+              0.7046754,
+              1.8676827,
+              4.9696642
+            ],
+            [
+              0.0504336,
+              0.0707412,
+              0.1271315,
+              0.2808827,
+              0.7108078,
+              1.8517371,
+              4.9778812
+            ],
+            [
+              0.0505814,
+              0.0706059,
+              0.1272324,
+              0.2837245,
+              0.6999154,
+              1.8438762,
+              4.9484652
+            ],
+            [
+              0.0537531,
+              0.0733694,
+              0.1278153,
+              0.2804925,
+              0.7097162,
+              1.8563973,
+              4.9725557
+            ],
+            [
+              0.0659181,
+              0.0836843,
+              0.1340955,
+              0.2825315,
+              0.7010544,
+              1.8621965,
+              4.9580309
+            ],
+            [
+              0.1049062,
+              0.1214895,
+              0.166165,
+              0.2965425,
+              0.7111233,
+              1.8507436,
+              4.9666693
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135967,
+            0.00369742,
+            0.0100546,
+            0.0273419,
+            0.074352,
+            0.202189
+          ],
+          "values": [
+            [
+              1.2510118,
+              1.2802749,
+              1.3363514,
+              1.4357143,
+              1.6156061,
+              1.9605992,
+              2.7266587
+            ],
+            [
+              1.2507744,
+              1.2795639,
+              1.3351785,
+              1.4340316,
+              1.6141011,
+              1.9603241,
+              2.7260862
+            ],
+            [
+              1.2597237,
+              1.2887612,
+              1.3448974,
+              1.4435929,
+              1.6239327,
+              1.9701156,
+              2.7358558
+            ],
+            [
+              1.3215782,
+              1.3501342,
+              1.4066094,
+              1.506042,
+              1.685146,
+              2.0308237,
+              2.7967693
+            ],
+            [
+              1.5356483,
+              1.5644571,
+              1.6205591,
+              1.7210832,
+              1.900331,
+              2.246523,
+              3.0123577
+            ],
+            [
+              2.1543032,
+              2.1829858,
+              2.2390629,
+              2.3384126,
+              2.5177891,
+              2.8639506,
+              3.6296686
+            ],
+            [
+              3.9548844,
+              3.9849986,
+              4.0425787,
+              4.1434656,
+              4.3229167,
+              4.665893,
+              5.4323759
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135967,
+            0.00369742,
+            0.0100546,
+            0.0273419,
+            0.074352,
+            0.202189
+          ],
+          "values": [
+            [
+              0.1270415,
+              0.1439058,
+              0.1877579,
+              0.303291,
+              0.6142197,
+              1.4616064,
+              3.7631134
+            ],
+            [
+              0.1370251,
+              0.1540141,
+              0.1977998,
+              0.3138184,
+              0.6246907,
+              1.4725197,
+              3.7859063
+            ],
+            [
+              0.1653362,
+              0.1822505,
+              0.2259312,
+              0.342093,
+              0.6533354,
+              1.4991534,
+              3.8000381
+            ],
+            [
+              0.2442025,
+              0.2609613,
+              0.3046001,
+              0.4207306,
+              0.7335522,
+              1.5807713,
+              3.8809214
+            ],
+            [
+              0.4340226,
+              0.4516849,
+              0.4957981,
+              0.6119345,
+              0.9253168,
+              1.7757026,
+              4.0805115
+            ],
+            [
+              0.8417869,
+              0.8616045,
+              0.9083335,
+              1.0251971,
+              1.3384482,
+              2.18889,
+              4.4925921
+            ],
+            [
+              1.7117062,
+              1.7409216,
+              1.8014183,
+              1.9249333,
+              2.2366564,
+              3.0870202,
+              5.3865974
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135967,
+            0.00369742,
+            0.0100546,
+            0.0273419,
+            0.074352,
+            0.202189
+          ],
+          "values": [
+            [
+              0.1306904,
+              0.143236,
+              0.1720363,
+              0.2374805,
+              0.377806,
+              0.7034529,
+              1.5980296
+            ],
+            [
+              0.1301372,
+              0.1433449,
+              0.1743899,
+              0.235112,
+              0.3780448,
+              0.7038664,
+              1.6026145
+            ],
+            [
+              0.1309787,
+              0.1447289,
+              0.1740351,
+              0.235605,
+              0.3778039,
+              0.7036163,
+              1.6032521
+            ],
+            [
+              0.130552,
+              0.1438335,
+              0.1720731,
+              0.2371302,
+              0.3754304,
+              0.7015252,
+              1.6020094
+            ],
+            [
+              0.1313378,
+              0.1442183,
+              0.173053,
+              0.2354759,
+              0.3728998,
+              0.7004967,
+              1.6009744
+            ],
+            [
+              0.130348,
+              0.1436378,
+              0.1723158,
+              0.2387129,
+              0.3754746,
+              0.7031205,
+              1.6023746
+            ],
+            [
+              0.1396747,
+              0.1517558,
+              0.181364,
+              0.2390907,
+              0.3779379,
+              0.7070939,
+              1.6018541
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00135967,
+            0.00369742,
+            0.0100546,
+            0.0273419,
+            0.074352,
+            0.202189
+          ],
+          "values": [
+            [
+              0.049447,
+              0.0700337,
+              0.1262079,
+              0.2824242,
+              0.6988008,
+              1.8383292,
+              4.9550128
+            ],
+            [
+              0.0496055,
+              0.0697646,
+              0.1262637,
+              0.281371,
+              0.6992962,
+              1.8456157,
+              4.9690074
+            ],
+            [
+              0.0496071,
+              0.0699079,
+              0.1269248,
+              0.2825308,
+              0.707595,
+              1.8532202,
+              4.982164
+            ],
+            [
+              0.0497597,
+              0.0699767,
+              0.1250728,
+              0.2792187,
+              0.704691,
+              1.8547845,
+              4.967692
+            ],
+            [
+              0.0537755,
+              0.0732093,
+              0.1270912,
+              0.281955,
+              0.7004258,
+              1.8414752,
+              4.9519562
+            ],
+            [
+              0.0677235,
+              0.0848469,
+              0.1352297,
+              0.2832459,
+              0.7000857,
+              1.8563054,
+              4.9810365
+            ],
+            [
+              0.1116491,
+              0.1273099,
+              0.1714339,
+              0.298612,
+              0.7030275,
+              1.8593713,
+              4.9761226
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_n40C_1v60_ccsnoise.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_n40C_1v60_ccsnoise.lib.json
new file mode 100644
index 0000000..41aa461
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_n40C_1v60_ccsnoise.lib.json
@@ -0,0 +1,17722 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 0.0003360169,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 0.0005244,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0005904,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0005233,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 4.5160449e-05,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0005234,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 4.0833348e-05,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0005233,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 4.5050819e-05,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0005244,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0006051,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0005233,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 4.5160449e-05,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0005234,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 4.0833348e-05,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0005233,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 4.5050819e-05,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0005244,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0006014,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0005233,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 4.5160449e-05,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0005234,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 4.0833348e-05,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0005233,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 4.5050819e-05,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0005258,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 5.2853487e-05,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0005233,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 4.4879512e-05,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0005231,
+      "when": "A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 4.0599783e-05,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0005233,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 4.5048922e-05,
+      "when": "A1&A2&B1&C1&!D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.002258,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002161,
+    "input_voltage": "GENERAL",
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          0.0034819,
+          0.0034735,
+          0.0034498,
+          0.0034515,
+          0.0034564,
+          0.0034703,
+          0.0035094
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          -0.0029537,
+          -0.002959,
+          -0.0029738,
+          -0.0029687,
+          -0.0029543,
+          -0.0029137,
+          -0.0027993
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002355
+  },
+  "pin A2": {
+    "capacitance": 0.002125,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002035,
+    "input_voltage": "GENERAL",
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          0.0030009,
+          0.0029972,
+          0.0029869,
+          0.0029877,
+          0.0029901,
+          0.0029968,
+          0.0030158
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          -0.002979,
+          -0.0029769,
+          -0.0029708,
+          -0.0029716,
+          -0.0029741,
+          -0.0029811,
+          -0.0030006
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002214
+  },
+  "pin B1": {
+    "capacitance": 0.00228,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002156,
+    "input_voltage": "GENERAL",
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          0.0031063,
+          0.0031079,
+          0.0031122,
+          0.0031124,
+          0.003113,
+          0.0031147,
+          0.0031194
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          -0.0027195,
+          -0.0027342,
+          -0.0027756,
+          -0.0027822,
+          -0.0028007,
+          -0.002853,
+          -0.0030003
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002404
+  },
+  "pin C1": {
+    "capacitance": 0.002249,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002121,
+    "input_voltage": "GENERAL",
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          0.002905,
+          0.0029055,
+          0.0029071,
+          0.0029071,
+          0.0029072,
+          0.0029073,
+          0.0029077
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          -0.0027372,
+          -0.0027515,
+          -0.0027916,
+          -0.0027939,
+          -0.0028002,
+          -0.0028179,
+          -0.002868
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002378
+  },
+  "pin D1": {
+    "capacitance": 0.002222,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002051,
+    "input_voltage": "GENERAL",
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          0.0020165,
+          0.0020129,
+          0.0020026,
+          0.0020081,
+          0.0020236,
+          0.0020674,
+          0.0021907
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0281727,
+          0.0793701,
+          0.223607,
+          0.629961,
+          1.77477,
+          5.0
+        ],
+        "values": [
+          -0.0016282,
+          -0.0016238,
+          -0.0016113,
+          -0.0016114,
+          -0.0016116,
+          -0.0016123,
+          -0.0016141
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002393
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001448875,
+            0.004198481,
+            0.01216615,
+            0.03525448,
+            0.1021587,
+            0.2960305
+          ],
+          "values": [
+            [
+              0.0109701,
+              0.010104,
+              0.0074433,
+              -0.0014218,
+              -0.0295662,
+              -0.1146771,
+              -0.3627555
+            ],
+            [
+              0.0108945,
+              0.010049,
+              0.0073533,
+              -0.0015085,
+              -0.029661,
+              -0.1147675,
+              -0.362843
+            ],
+            [
+              0.0107245,
+              0.009916,
+              0.0071742,
+              -0.0016656,
+              -0.0298113,
+              -0.1149325,
+              -0.3630066
+            ],
+            [
+              0.0104955,
+              0.0096406,
+              0.0069941,
+              -0.001883,
+              -0.0300261,
+              -0.1151257,
+              -0.3631976
+            ],
+            [
+              0.0103165,
+              0.0094699,
+              0.0068125,
+              -0.0020264,
+              -0.0301915,
+              -0.1153132,
+              -0.3633699
+            ],
+            [
+              0.0103796,
+              0.0095219,
+              0.0068263,
+              -0.00205,
+              -0.0302144,
+              -0.1153139,
+              -0.3633837
+            ],
+            [
+              0.0127206,
+              0.011542,
+              0.0081226,
+              -0.0019354,
+              -0.0306,
+              -0.1154487,
+              -0.3634888
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001448875,
+            0.004198481,
+            0.01216615,
+            0.03525448,
+            0.1021587,
+            0.2960305
+          ],
+          "values": [
+            [
+              0.0112827,
+              0.0127501,
+              0.0166971,
+              0.0273789,
+              0.0571688,
+              0.1428955,
+              0.3883022
+            ],
+            [
+              0.0112597,
+              0.0127138,
+              0.0166611,
+              0.0274348,
+              0.0571085,
+              0.142759,
+              0.3868028
+            ],
+            [
+              0.0112175,
+              0.0126663,
+              0.0166055,
+              0.0272732,
+              0.0570514,
+              0.1428915,
+              0.3866587
+            ],
+            [
+              0.0111664,
+              0.0125854,
+              0.0164679,
+              0.0271782,
+              0.0568171,
+              0.1425318,
+              0.3868829
+            ],
+            [
+              0.0111552,
+              0.0125338,
+              0.0163178,
+              0.0268442,
+              0.0566459,
+              0.1423781,
+              0.3891937
+            ],
+            [
+              0.011656,
+              0.0129578,
+              0.0164935,
+              0.0266817,
+              0.0561061,
+              0.1415391,
+              0.3876226
+            ],
+            [
+              0.0116948,
+              0.0130393,
+              0.0166362,
+              0.0267298,
+              0.0564501,
+              0.1413106,
+              0.3868543
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001448875,
+            0.004198481,
+            0.01216615,
+            0.03525448,
+            0.1021587,
+            0.2960305
+          ],
+          "values": [
+            [
+              0.0130002,
+              0.012127,
+              0.0094041,
+              0.0005675,
+              -0.0275172,
+              -0.1125691,
+              -0.3605785
+            ],
+            [
+              0.0129126,
+              0.012028,
+              0.0093613,
+              0.0004634,
+              -0.0275961,
+              -0.1126478,
+              -0.3606512
+            ],
+            [
+              0.0128118,
+              0.0119696,
+              0.0092396,
+              0.0003951,
+              -0.0276803,
+              -0.1127157,
+              -0.3607422
+            ],
+            [
+              0.0127113,
+              0.0118636,
+              0.0091469,
+              0.0002962,
+              -0.0278062,
+              -0.1128548,
+              -0.3608589
+            ],
+            [
+              0.0126241,
+              0.0117807,
+              0.0090311,
+              0.0002452,
+              -0.0278889,
+              -0.1129436,
+              -0.3609442
+            ],
+            [
+              0.0126025,
+              0.0117581,
+              0.0090687,
+              0.0001384,
+              -0.0279207,
+              -0.1129626,
+              -0.360966
+            ],
+            [
+              0.0151902,
+              0.0140237,
+              0.0106031,
+              0.0005432,
+              -0.0282952,
+              -0.1130763,
+              -0.3610489
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001448875,
+            0.004198481,
+            0.01216615,
+            0.03525448,
+            0.1021587,
+            0.2960305
+          ],
+          "values": [
+            [
+              0.0112839,
+              0.0127431,
+              0.0166872,
+              0.0273615,
+              0.05715,
+              0.1428554,
+              0.3882338
+            ],
+            [
+              0.0112273,
+              0.0126896,
+              0.0166386,
+              0.0273131,
+              0.0570939,
+              0.142904,
+              0.3882527
+            ],
+            [
+              0.0111576,
+              0.012615,
+              0.0165459,
+              0.0272135,
+              0.0570025,
+              0.1427138,
+              0.3875955
+            ],
+            [
+              0.0111135,
+              0.0125615,
+              0.0164578,
+              0.0271898,
+              0.0568992,
+              0.1426935,
+              0.3864865
+            ],
+            [
+              0.0111774,
+              0.0125576,
+              0.0163894,
+              0.0270294,
+              0.0569455,
+              0.1420985,
+              0.388163
+            ],
+            [
+              0.0117789,
+              0.0130914,
+              0.0166634,
+              0.0268004,
+              0.0562325,
+              0.1422517,
+              0.3865359
+            ],
+            [
+              0.0120225,
+              0.0134145,
+              0.0169389,
+              0.0271252,
+              0.0569053,
+              0.1419341,
+              0.3886088
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001448875,
+            0.004198481,
+            0.01216615,
+            0.03525448,
+            0.1021587,
+            0.2960305
+          ],
+          "values": [
+            [
+              0.0115596,
+              0.0107295,
+              0.0080355,
+              -0.0008355,
+              -0.028951,
+              -0.1139852,
+              -0.3619429
+            ],
+            [
+              0.0114476,
+              0.0106308,
+              0.0078649,
+              -0.0009527,
+              -0.0290601,
+              -0.1141088,
+              -0.3621336
+            ],
+            [
+              0.0112627,
+              0.0104633,
+              0.0077445,
+              -0.0011042,
+              -0.0292013,
+              -0.1142505,
+              -0.362256
+            ],
+            [
+              0.0111807,
+              0.0103357,
+              0.0075971,
+              -0.0012441,
+              -0.0293415,
+              -0.1143812,
+              -0.3623897
+            ],
+            [
+              0.0110863,
+              0.0102501,
+              0.0075748,
+              -0.0012921,
+              -0.0294178,
+              -0.1144447,
+              -0.3624433
+            ],
+            [
+              0.0110327,
+              0.0101552,
+              0.0074337,
+              -0.0014607,
+              -0.0295313,
+              -0.1145501,
+              -0.3625469
+            ],
+            [
+              0.0128797,
+              0.0117069,
+              0.0082589,
+              -0.0018467,
+              -0.0297815,
+              -0.1146662,
+              -0.3626111
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001448875,
+            0.004198481,
+            0.01216615,
+            0.03525448,
+            0.1021587,
+            0.2960305
+          ],
+          "values": [
+            [
+              0.0102121,
+              0.0115685,
+              0.015312,
+              0.0257637,
+              0.0551033,
+              0.1403039,
+              0.3861063
+            ],
+            [
+              0.0102181,
+              0.0115832,
+              0.0153168,
+              0.025768,
+              0.0551974,
+              0.1393005,
+              0.3862341
+            ],
+            [
+              0.0102481,
+              0.0115925,
+              0.0153255,
+              0.0257055,
+              0.0551869,
+              0.1407506,
+              0.3848847
+            ],
+            [
+              0.010157,
+              0.0114757,
+              0.0151759,
+              0.0256118,
+              0.0547286,
+              0.1402674,
+              0.3860115
+            ],
+            [
+              0.0101911,
+              0.0113991,
+              0.015015,
+              0.0252518,
+              0.0547664,
+              0.1405379,
+              0.3859885
+            ],
+            [
+              0.0101674,
+              0.0114215,
+              0.0150178,
+              0.0252248,
+              0.0545261,
+              0.1396507,
+              0.3851611
+            ],
+            [
+              0.0102303,
+              0.0114778,
+              0.0150526,
+              0.0252996,
+              0.0549704,
+              0.1394519,
+              0.3866393
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001448875,
+            0.004198481,
+            0.01216615,
+            0.03525448,
+            0.1021587,
+            0.2960305
+          ],
+          "values": [
+            [
+              0.0103085,
+              0.0094124,
+              0.006788,
+              -0.0020812,
+              -0.0301834,
+              -0.115264,
+              -0.3632517
+            ],
+            [
+              0.0101722,
+              0.0093487,
+              0.0066011,
+              -0.002214,
+              -0.0303157,
+              -0.1153386,
+              -0.3633354
+            ],
+            [
+              0.0100494,
+              0.0092332,
+              0.0064574,
+              -0.0023721,
+              -0.030455,
+              -0.1155133,
+              -0.3635319
+            ],
+            [
+              0.0099192,
+              0.0090652,
+              0.0063856,
+              -0.0025086,
+              -0.0305981,
+              -0.115655,
+              -0.3636591
+            ],
+            [
+              0.0098447,
+              0.0090175,
+              0.0063232,
+              -0.0025453,
+              -0.0306693,
+              -0.115701,
+              -0.3636934
+            ],
+            [
+              0.0097494,
+              0.0089716,
+              0.0062073,
+              -0.002656,
+              -0.0307903,
+              -0.1158111,
+              -0.3637957
+            ],
+            [
+              0.0117086,
+              0.0105397,
+              0.007095,
+              -0.0030046,
+              -0.0311002,
+              -0.11594,
+              -0.3638787
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001448875,
+            0.004198481,
+            0.01216615,
+            0.03525448,
+            0.1021587,
+            0.2960305
+          ],
+          "values": [
+            [
+              0.0097295,
+              0.0110304,
+              0.0146791,
+              0.0249513,
+              0.0543513,
+              0.1389325,
+              0.3839091
+            ],
+            [
+              0.0097448,
+              0.0110447,
+              0.0146964,
+              0.0249743,
+              0.0542253,
+              0.1394123,
+              0.3854079
+            ],
+            [
+              0.0097648,
+              0.0110664,
+              0.014703,
+              0.0250359,
+              0.0544575,
+              0.1395201,
+              0.3837802
+            ],
+            [
+              0.0096444,
+              0.0109248,
+              0.0145364,
+              0.0247142,
+              0.0543586,
+              0.1394234,
+              0.3837323
+            ],
+            [
+              0.0095626,
+              0.0107744,
+              0.0143078,
+              0.0244892,
+              0.0542691,
+              0.1396465,
+              0.385111
+            ],
+            [
+              0.0092172,
+              0.0105399,
+              0.0141369,
+              0.0243556,
+              0.0536649,
+              0.1387261,
+              0.3845428
+            ],
+            [
+              0.0091952,
+              0.0104659,
+              0.0140266,
+              0.0242468,
+              0.053955,
+              0.1383876,
+              0.3845647
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001448875,
+            0.004198481,
+            0.01216615,
+            0.03525448,
+            0.1021587,
+            0.2960305
+          ],
+          "values": [
+            [
+              0.0100348,
+              0.0091737,
+              0.0064697,
+              -0.0024054,
+              -0.0304571,
+              -0.1155081,
+              -0.36351
+            ],
+            [
+              0.0099232,
+              0.0091289,
+              0.0063998,
+              -0.0024684,
+              -0.0305824,
+              -0.1156331,
+              -0.363635
+            ],
+            [
+              0.0097066,
+              0.0088807,
+              0.0062019,
+              -0.002676,
+              -0.0307685,
+              -0.1157984,
+              -0.3638041
+            ],
+            [
+              0.0095718,
+              0.0087213,
+              0.0060352,
+              -0.0028372,
+              -0.0309334,
+              -0.1159746,
+              -0.3639834
+            ],
+            [
+              0.0094478,
+              0.0085747,
+              0.0058499,
+              -0.0029646,
+              -0.0310807,
+              -0.116126,
+              -0.3641033
+            ],
+            [
+              0.0093252,
+              0.0085243,
+              0.0058062,
+              -0.0031358,
+              -0.0312576,
+              -0.116273,
+              -0.364251
+            ],
+            [
+              0.012059,
+              0.0108814,
+              0.0074561,
+              -0.0026254,
+              -0.0318544,
+              -0.1165718,
+              -0.3644884
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02817269,
+            0.07937005,
+            0.2236068,
+            0.6299605,
+            1.774768,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.001448875,
+            0.004198481,
+            0.01216615,
+            0.03525448,
+            0.1021587,
+            0.2960305
+          ],
+          "values": [
+            [
+              0.0077131,
+              0.008969,
+              0.0125392,
+              0.0226764,
+              0.0521506,
+              0.1366148,
+              0.3816628
+            ],
+            [
+              0.0077048,
+              0.0089591,
+              0.0125165,
+              0.0227027,
+              0.0521816,
+              0.1357991,
+              0.3825533
+            ],
+            [
+              0.0076174,
+              0.0088672,
+              0.0124326,
+              0.0224965,
+              0.0519239,
+              0.1365307,
+              0.3826281
+            ],
+            [
+              0.0073628,
+              0.0086251,
+              0.0121918,
+              0.0224414,
+              0.0518706,
+              0.1364199,
+              0.3815351
+            ],
+            [
+              0.0072244,
+              0.0084509,
+              0.0119007,
+              0.0221138,
+              0.0511854,
+              0.1363889,
+              0.3823311
+            ],
+            [
+              0.006835,
+              0.0080765,
+              0.0116828,
+              0.0219053,
+              0.0511411,
+              0.135122,
+              0.3809632
+            ],
+            [
+              0.0066713,
+              0.0078978,
+              0.0113597,
+              0.0216799,
+              0.0515529,
+              0.1359637,
+              0.3827057
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.086985,
+    "max_transition": 1.503609,
+    "output_voltage": "GENERAL",
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "ccsn_first_stage": {
+          "dc_current ccsn_dc": {
+            "index_1": [
+              -1.6,
+              -0.8,
+              -0.32,
+              -0.16,
+              0,
+              0.08,
+              0.16,
+              0.24,
+              0.32,
+              0.4,
+              0.48,
+              0.56,
+              0.64,
+              0.72,
+              0.8,
+              0.88,
+              0.96,
+              1.04,
+              1.12,
+              1.2,
+              1.28,
+              1.36,
+              1.44,
+              1.52,
+              1.6,
+              1.76,
+              1.92,
+              2.4,
+              3.2
+            ],
+            "index_2": [
+              -1.6,
+              -0.8,
+              -0.32,
+              -0.16,
+              0,
+              0.08,
+              0.16,
+              0.24,
+              0.32,
+              0.4,
+              0.48,
+              0.56,
+              0.64,
+              0.72,
+              0.8,
+              0.88,
+              0.96,
+              1.04,
+              1.12,
+              1.2,
+              1.28,
+              1.36,
+              1.44,
+              1.52,
+              1.6,
+              1.76,
+              1.92,
+              2.4,
+              3.2
+            ],
+            "values": [
+              [
+                3.40478,
+                0.0849395,
+                0.012835,
+                0.0127144,
+                0.0125886,
+                0.0125234,
+                0.0124566,
+                0.0123879,
+                0.0123171,
+                0.012244,
+                0.012168,
+                0.0120888,
+                0.0120057,
+                0.0119176,
+                0.0118232,
+                0.0117198,
+                0.0116027,
+                0.011457,
+                0.011215,
+                0.0106803,
+                0.00967432,
+                0.00812389,
+                0.00599862,
+                0.00328937,
+                -1.1286e-08,
+                -0.00820601,
+                -0.0183259,
+                -0.0590903,
+                -1.62602
+              ],
+              [
+                3.40457,
+                0.0847441,
+                0.0126483,
+                0.0125308,
+                0.0124081,
+                0.0123446,
+                0.0122794,
+                0.0122124,
+                0.0121434,
+                0.012072,
+                0.0119979,
+                0.0119207,
+                0.0118396,
+                0.0117536,
+                0.0116615,
+                0.0115607,
+                0.0114464,
+                0.0113042,
+                0.0110674,
+                0.0105416,
+                0.00955056,
+                0.00802148,
+                0.00592412,
+                0.00324914,
+                -1.06094e-08,
+                -0.00811012,
+                -0.0181206,
+                -0.0585756,
+                -1.62595
+              ],
+              [
+                3.40436,
+                0.0844695,
+                0.0120373,
+                0.0119294,
+                0.0118167,
+                0.0117583,
+                0.0116983,
+                0.0116367,
+                0.0115732,
+                0.0115075,
+                0.0114393,
+                0.0113681,
+                0.0112934,
+                0.0112143,
+                0.0111294,
+                0.0110366,
+                0.0109314,
+                0.0108003,
+                0.0105799,
+                0.0100829,
+                0.00913978,
+                0.00767999,
+                0.00567409,
+                0.00311302,
+                -1.1116e-08,
+                -0.00777842,
+                -0.0173972,
+                -0.0565093,
+                -1.62563
+              ],
+              [
+                3.40508,
+                0.0877974,
+                0.0116011,
+                0.0114999,
+                0.0113942,
+                0.0113394,
+                0.0112831,
+                0.0112253,
+                0.0111656,
+                0.0111039,
+                0.0110398,
+                0.0109729,
+                0.0109027,
+                0.0108284,
+                0.0107486,
+                0.0106614,
+                0.0105626,
+                0.0104393,
+                0.0102304,
+                0.00975423,
+                0.00884572,
+                0.00743601,
+                0.00549596,
+                0.00301641,
+                -1.1585e-08,
+                -0.00754853,
+                -0.0169061,
+                -0.0551841,
+                -1.62543
+              ],
+              [
+                3.40621,
+                0.101781,
+                0.010904,
+                0.0108135,
+                0.0107188,
+                0.0106696,
+                0.0106192,
+                0.0105672,
+                0.0105136,
+                0.0104582,
+                0.0104006,
+                0.0103405,
+                0.0102774,
+                0.0102106,
+                0.0101389,
+                0.0100605,
+                0.00997166,
+                0.00986066,
+                0.00967027,
+                0.00922766,
+                0.00837562,
+                0.00704734,
+                0.0052136,
+                0.0028642,
+                -1.12958e-08,
+                -0.00719861,
+                -0.0161781,
+                -0.053342,
+                -1.62517
+              ],
+              [
+                3.40678,
+                0.112922,
+                0.0103858,
+                0.0103031,
+                0.0102165,
+                0.0101715,
+                0.0101253,
+                0.0100778,
+                0.0100287,
+                0.00997785,
+                0.00992506,
+                0.00986995,
+                0.00981207,
+                0.00975073,
+                0.00968495,
+                0.00961303,
+                0.00953149,
+                0.0094295,
+                0.00925283,
+                0.00883572,
+                0.00802678,
+                0.00676038,
+                0.00500654,
+                0.0027535,
+                -1.15073e-08,
+                -0.00695465,
+                -0.0156852,
+                -0.0521716,
+                -1.62501
+              ],
+              [
+                3.40726,
+                0.125971,
+                0.00967379,
+                0.00960116,
+                0.00952565,
+                0.00948639,
+                0.00944602,
+                0.00940442,
+                0.00936145,
+                0.00931695,
+                0.0092707,
+                0.00922239,
+                0.00917161,
+                0.0091178,
+                0.00906007,
+                0.00899693,
+                0.00892535,
+                0.00883564,
+                0.00867792,
+                0.00829684,
+                0.00754919,
+                0.0063701,
+                0.00472735,
+                0.00260568,
+                -1.16293e-08,
+                -0.00664381,
+                -0.0150749,
+                -0.0507994,
+                -1.62483
+              ],
+              [
+                3.40747,
+                0.140328,
+                0.00863791,
+                0.00857329,
+                0.00851399,
+                0.00848307,
+                0.00845123,
+                0.00841836,
+                0.00838436,
+                0.00834908,
+                0.00831237,
+                0.00827397,
+                0.00823356,
+                0.0081907,
+                0.00814467,
+                0.00809429,
+                0.00803713,
+                0.00796525,
+                0.00783567,
+                0.00751022,
+                0.00685773,
+                0.00581199,
+                0.00433407,
+                0.00240084,
+                2.8937e-08,
+                -0.00624201,
+                -0.0143148,
+                -0.0491952,
+                -1.62462
+              ],
+              [
+                3.40706,
+                0.155308,
+                0.00700011,
+                0.00689428,
+                0.00686144,
+                0.0068442,
+                0.00682634,
+                0.0068078,
+                0.00678851,
+                0.00676838,
+                0.00674732,
+                0.00672517,
+                0.00670174,
+                0.00667676,
+                0.00664981,
+                0.00662018,
+                0.0065864,
+                0.0065435,
+                0.00646184,
+                0.00623817,
+                0.00576063,
+                0.00494986,
+                0.00374444,
+                0.00210253,
+                2.51278e-08,
+                -0.00571512,
+                -0.0133645,
+                -0.0473278,
+                -1.6244
+              ],
+              [
+                3.40563,
+                0.170174,
+                0.00454279,
+                0.00408548,
+                0.00407788,
+                0.00407451,
+                0.004071,
+                0.00406735,
+                0.00406353,
+                0.00405954,
+                0.00405535,
+                0.00405093,
+                0.00404626,
+                0.00404127,
+                0.00403589,
+                0.00402998,
+                0.00402327,
+                0.00401468,
+                0.00399627,
+                0.00393603,
+                0.00378811,
+                0.00346033,
+                0.00278543,
+                0.00164335,
+                -1.44887e-08,
+                -0.00501687,
+                -0.0121755,
+                -0.0451677,
+                -1.62415
+              ],
+              [
+                3.40477,
+                0.186165,
+                0.00364795,
+                0.00163734,
+                0.00162057,
+                0.00161965,
+                0.00161868,
+                0.00161766,
+                0.00161658,
+                0.00161545,
+                0.00161425,
+                0.00161298,
+                0.00161163,
+                0.00161019,
+                0.00160864,
+                0.00160695,
+                0.00160504,
+                0.00160259,
+                0.00159582,
+                0.00156916,
+                0.00151348,
+                0.00143178,
+                0.00130804,
+                0.0009459,
+                -9.71504e-08,
+                -0.00409373,
+                -0.0106982,
+                -0.0426951,
+                -1.62389
+              ],
+              [
+                3.40525,
+                0.203779,
+                0.00703649,
+                0.000540998,
+                0.000420541,
+                0.000420153,
+                0.000419922,
+                0.000419683,
+                0.000419427,
+                0.000419152,
+                0.000418856,
+                0.000418538,
+                0.000418191,
+                0.000417821,
+                0.000417412,
+                0.000416966,
+                0.000416459,
+                0.000415748,
+                0.000412304,
+                0.000398451,
+                0.000374759,
+                0.000347073,
+                0.000317258,
+                0.000273325,
+                -1.37894e-06,
+                -0.00292003,
+                -0.00890821,
+                -0.0399207,
+                -1.62361
+              ],
+              [
+                3.40664,
+                0.222169,
+                0.0153206,
+                0.000755774,
+                8.35799e-05,
+                8.00676e-05,
+                7.92087e-05,
+                7.84166e-05,
+                7.76241e-05,
+                7.68287e-05,
+                7.60213e-05,
+                7.52034e-05,
+                7.43676e-05,
+                7.35195e-05,
+                7.26551e-05,
+                7.17743e-05,
+                7.08663e-05,
+                6.97804e-05,
+                6.69359e-05,
+                6.12989e-05,
+                5.46514e-05,
+                4.79644e-05,
+                4.13058e-05,
+                3.36228e-05,
+                -1.91089e-05,
+                -0.00164835,
+                -0.00690196,
+                -0.0369291,
+                -1.62337
+              ],
+              [
+                3.4083,
+                0.240126,
+                0.0270174,
+                0.00291414,
+                1.68396e-05,
+                -1.91432e-05,
+                -2.75259e-05,
+                -3.51366e-05,
+                -4.26431e-05,
+                -5.0147e-05,
+                -5.76824e-05,
+                -6.52631e-05,
+                -7.28915e-05,
+                -8.05757e-05,
+                -8.8312e-05,
+                -9.61026e-05,
+                -0.000103963,
+                -0.000112102,
+                -0.000120888,
+                -0.000130021,
+                -0.000139211,
+                -0.000148422,
+                -0.000157657,
+                -0.000167098,
+                -0.000185226,
+                -0.000821696,
+                -0.00505136,
+                -0.0340082,
+                -1.62344
+              ],
+              [
+                3.40995,
+                0.256622,
+                0.0401574,
+                0.00840846,
+                3.41119e-06,
+                -0.000234779,
+                -0.000285767,
+                -0.000330098,
+                -0.000373242,
+                -0.000416027,
+                -0.000458705,
+                -0.00050139,
+                -0.000544123,
+                -0.000586934,
+                -0.000629836,
+                -0.000672833,
+                -0.000715958,
+                -0.000759301,
+                -0.00080282,
+                -0.000846442,
+                -0.000890152,
+                -0.000933949,
+                -0.00097784,
+                -0.00102186,
+                -0.00106761,
+                -0.00130551,
+                -0.00421178,
+                -0.0320245,
+                -1.62485
+              ],
+              [
+                3.41147,
+                0.270852,
+                0.0525137,
+                0.0160747,
+                5.85802e-07,
+                -0.0011785,
+                -0.00140517,
+                -0.00156903,
+                -0.0017216,
+                -0.00186956,
+                -0.00201494,
+                -0.0021586,
+                -0.00230098,
+                -0.00244233,
+                -0.00258279,
+                -0.00272247,
+                -0.00286144,
+                -0.00299977,
+                -0.00313746,
+                -0.00327453,
+                -0.00341102,
+                -0.00354696,
+                -0.00368238,
+                -0.00381732,
+                -0.00395208,
+                -0.0042497,
+                -0.00594485,
+                -0.0325581,
+                -1.62936
+              ],
+              [
+                3.41279,
+                0.282623,
+                0.0627581,
+                0.0231221,
+                6.8324e-08,
+                -0.00381544,
+                -0.00479005,
+                -0.00522234,
+                -0.00557513,
+                -0.00590055,
+                -0.00621173,
+                -0.00651383,
+                -0.00680937,
+                -0.00709976,
+                -0.00738589,
+                -0.00766832,
+                -0.00794746,
+                -0.00822359,
+                -0.00849694,
+                -0.00876767,
+                -0.00903595,
+                -0.0093019,
+                -0.00956567,
+                -0.00982741,
+                -0.0100873,
+                -0.010608,
+                -0.0116079,
+                -0.0366887,
+                -1.63779
+              ],
+              [
+                3.41389,
+                0.292331,
+                0.0710183,
+                0.0287872,
+                1.02475e-08,
+                -0.00744747,
+                -0.0106624,
+                -0.0117609,
+                -0.0124188,
+                -0.0129641,
+                -0.0134612,
+                -0.0139311,
+                -0.0143833,
+                -0.0148226,
+                -0.0152518,
+                -0.0156729,
+                -0.0160869,
+                -0.0164949,
+                -0.0168974,
+                -0.0172948,
+                -0.0176877,
+                -0.0180763,
+                -0.0184609,
+                -0.0188419,
+                -0.0192195,
+                -0.0199671,
+                -0.0208175,
+                -0.0438213,
+                -1.64914
+              ],
+              [
+                3.41479,
+                0.30047,
+                0.0777487,
+                0.0332929,
+                6.6884e-09,
+                -0.0107937,
+                -0.017245,
+                -0.0200792,
+                -0.0213267,
+                -0.0221703,
+                -0.0228765,
+                -0.0235164,
+                -0.0241173,
+                -0.0246922,
+                -0.025248,
+                -0.0257892,
+                -0.0263185,
+                -0.0268377,
+                -0.0273484,
+                -0.0278514,
+                -0.0283476,
+                -0.0288375,
+                -0.0293218,
+                -0.0298009,
+                -0.0302753,
+                -0.0312121,
+                -0.0321599,
+                -0.0528073,
+                -1.66206
+              ],
+              [
+                3.41555,
+                0.307408,
+                0.0833129,
+                0.0369225,
+                6.12081e-09,
+                -0.0135278,
+                -0.0230597,
+                -0.0286454,
+                -0.0312154,
+                -0.0325677,
+                -0.0335484,
+                -0.0343787,
+                -0.0351303,
+                -0.0358337,
+                -0.0365041,
+                -0.0371505,
+                -0.0377782,
+                -0.0383909,
+                -0.038991,
+                -0.0395803,
+                -0.0401601,
+                -0.0407316,
+                -0.0412955,
+                -0.0418527,
+                -0.0424037,
+                -0.0434904,
+                -0.0445662,
+                -0.0628632,
+                -1.67565
+              ],
+              [
+                3.4162,
+                0.313396,
+                0.0879733,
+                0.039892,
+                6.64522e-09,
+                -0.0157141,
+                -0.0278306,
+                -0.0362405,
+                -0.0410669,
+                -0.0434301,
+                -0.0448418,
+                -0.045916,
+                -0.0468363,
+                -0.0476708,
+                -0.0484508,
+                -0.0491929,
+                -0.0499069,
+                -0.0505992,
+                -0.0512738,
+                -0.0519336,
+                -0.0525809,
+                -0.0532173,
+                -0.053844,
+                -0.0544621,
+                -0.0550726,
+                -0.0562741,
+                -0.0574569,
+                -0.0735489,
+                -1.68941
+              ],
+              [
+                3.41677,
+                0.318613,
+                0.0919201,
+                0.042356,
+                5.77152e-09,
+                -0.0174728,
+                -0.0316947,
+                -0.0425396,
+                -0.0499245,
+                -0.0540806,
+                -0.0562706,
+                -0.0577052,
+                -0.0588367,
+                -0.059817,
+                -0.0607086,
+                -0.0615421,
+                -0.0623344,
+                -0.0630958,
+                -0.0638331,
+                -0.0645507,
+                -0.0652518,
+                -0.065939,
+                -0.0666141,
+                -0.0672784,
+                -0.0679333,
+                -0.0692193,
+                -0.0704808,
+                -0.0845888,
+                -1.70301
+              ],
+              [
+                3.41726,
+                0.323186,
+                0.0952939,
+                0.0444256,
+                6.2208e-09,
+                -0.0189048,
+                -0.0348367,
+                -0.047688,
+                -0.0573608,
+                -0.0637838,
+                -0.0673594,
+                -0.0693972,
+                -0.0708262,
+                -0.0719849,
+                -0.072999,
+                -0.0739245,
+                -0.0747903,
+                -0.0756131,
+                -0.0764033,
+                -0.0771676,
+                -0.0779108,
+                -0.0786364,
+                -0.0793469,
+                -0.0800442,
+                -0.0807301,
+                -0.0820728,
+                -0.0833853,
+                -0.0957731,
+                -1.71622
+              ],
+              [
+                3.4177,
+                0.327217,
+                0.0982008,
+                0.0461822,
+                6.32273e-09,
+                -0.0200858,
+                -0.0374142,
+                -0.0519024,
+                -0.0634722,
+                -0.0720371,
+                -0.0775502,
+                -0.0806377,
+                -0.0825347,
+                -0.0839345,
+                -0.0850943,
+                -0.0861183,
+                -0.0870561,
+                -0.0879343,
+                -0.0887689,
+                -0.0895698,
+                -0.0903439,
+                -0.091096,
+                -0.0918295,
+                -0.0925472,
+                -0.0932509,
+                -0.0946236,
+                -0.0959595,
+                -0.106918,
+                -1.72884
+              ],
+              [
+                3.41809,
+                0.330784,
+                0.100722,
+                0.0476864,
+                6.17061e-09,
+                -0.0210713,
+                -0.0395498,
+                -0.0553743,
+                -0.0684893,
+                -0.0788377,
+                -0.0863307,
+                -0.0910001,
+                -0.0936816,
+                -0.0954408,
+                -0.0967895,
+                -0.0979264,
+                -0.0989376,
+                -0.0998663,
+                -0.100737,
+                -0.101564,
+                -0.102357,
+                -0.103123,
+                -0.103867,
+                -0.104591,
+                -0.105299,
+                -0.106673,
+                -0.108003,
+                -0.117838,
+                -1.74063
+              ],
+              [
+                3.41874,
+                0.336766,
+                0.104851,
+                0.0501109,
+                6.37182e-09,
+                -0.0226093,
+                -0.0428452,
+                -0.0606721,
+                -0.0760638,
+                -0.0890039,
+                -0.0994801,
+                -0.107455,
+                -0.112871,
+                -0.116112,
+                -0.118132,
+                -0.119587,
+                -0.120761,
+                -0.121772,
+                -0.122679,
+                -0.123515,
+                -0.124297,
+                -0.125039,
+                -0.125748,
+                -0.12643,
+                -0.127088,
+                -0.128348,
+                -0.129545,
+                -0.137882,
+                -1.76047
+              ],
+              [
+                3.41926,
+                0.341515,
+                0.108048,
+                0.0519574,
+                5.93909e-09,
+                -0.0237392,
+                -0.0452305,
+                -0.0644445,
+                -0.0813607,
+                -0.0959694,
+                -0.108272,
+                -0.118281,
+                -0.126013,
+                -0.131486,
+                -0.134897,
+                -0.136931,
+                -0.138282,
+                -0.1393,
+                -0.140134,
+                -0.140856,
+                -0.141501,
+                -0.14209,
+                -0.142637,
+                -0.14315,
+                -0.143635,
+                -0.144538,
+                -0.145368,
+                -0.152411,
+                -1.77306
+              ],
+              [
+                3.42027,
+                0.350721,
+                0.114117,
+                0.0553984,
+                5.94447e-09,
+                -0.0257554,
+                -0.0493992,
+                -0.0708737,
+                -0.0901157,
+                -0.107045,
+                -0.121532,
+                -0.133347,
+                -0.142245,
+                -0.148457,
+                -0.15278,
+                -0.155939,
+                -0.158347,
+                -0.1602,
+                -0.161571,
+                -0.162501,
+                -0.163106,
+                -0.163528,
+                -0.163855,
+                -0.164128,
+                -0.164367,
+                -0.164783,
+                -0.165151,
+                -0.170921,
+                -1.78927
+              ],
+              [
+                3.42087,
+                0.3566,
+                0.118238,
+                0.0577251,
+                7.24593e-09,
+                -0.0270811,
+                -0.0520884,
+                -0.0749106,
+                -0.0954096,
+                -0.113388,
+                -0.128497,
+                -0.140155,
+                -0.148169,
+                -0.153551,
+                -0.15748,
+                -0.160616,
+                -0.163278,
+                -0.16563,
+                -0.167759,
+                -0.169718,
+                -0.171537,
+                -0.173231,
+                -0.17481,
+                -0.176274,
+                -0.177616,
+                -0.179878,
+                -0.181367,
+                -0.187776,
+                -1.80588
+              ]
+            ]
+          },
+          "is_inverting": "true",
+          "is_needed": "true",
+          "miller_cap_fall": 0.000205582,
+          "miller_cap_rise": 0.000697817,
+          "output_voltage_fall": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.0238439,
+                  0.0506687,
+                  0.0763198,
+                  0.1035358,
+                  0.148558
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.0953463,
+                  0.1219381,
+                  0.1468979,
+                  0.1737101,
+                  0.2180717
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              }
+            ]
+          },
+          "output_voltage_rise": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.3755526,
+                  0.5735439,
+                  0.767911,
+                  0.9625976,
+                  1.285115
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.4415409,
+                  0.6396033,
+                  0.8339657,
+                  1.0288898,
+                  1.3529399
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              }
+            ]
+          },
+          "propagated_noise_high": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.05332
+                ],
+                "index_2": [
+                  3.30628
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  1.6382474,
+                  1.7411979,
+                  1.9803009,
+                  2.8926855,
+                  3.1093118
+                ],
+                "values": [
+                  1.36815,
+                  1.22903,
+                  1.13629,
+                  1.22903,
+                  1.36815
+                ]
+              },
+              {
+                "index_1": [
+                  1.12867
+                ],
+                "index_2": [
+                  1.90214
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.9440618,
+                  1.0124692,
+                  1.167574,
+                  1.7533419,
+                  1.9447675
+                ],
+                "values": [
+                  1.34172,
+                  1.18676,
+                  1.08344,
+                  1.18676,
+                  1.34172
+                ]
+              },
+              {
+                "index_1": [
+                  1.19813
+                ],
+                "index_2": [
+                  1.2623
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.627869,
+                  0.6801441,
+                  0.7886274,
+                  1.2146397,
+                  1.3909585
+                ],
+                "values": [
+                  1.3171,
+                  1.14737,
+                  1.03421,
+                  1.14737,
+                  1.3171
+                ]
+              },
+              {
+                "index_1": [
+                  1.05332
+                ],
+                "index_2": [
+                  1.65314
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.8179535,
+                  0.8616844,
+                  0.9530111,
+                  1.5058259,
+                  1.6834202
+                ],
+                "values": [
+                  1.49281,
+                  1.42849,
+                  1.38562,
+                  1.42849,
+                  1.49281
+                ]
+              },
+              {
+                "index_1": [
+                  1.12867
+                ],
+                "index_2": [
+                  0.951068
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.4708571,
+                  0.5006768,
+                  0.5607227,
+                  0.9132007,
+                  1.0712873
+                ],
+                "values": [
+                  1.48025,
+                  1.4084,
+                  1.3605,
+                  1.4084,
+                  1.48025
+                ]
+              },
+              {
+                "index_1": [
+                  1.19813
+                ],
+                "index_2": [
+                  0.631149
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.3131628,
+                  0.3362454,
+                  0.3846107,
+                  0.6183864,
+                  0.779597
+                ],
+                "values": [
+                  1.47023,
+                  1.39236,
+                  1.34045,
+                  1.39236,
+                  1.47023
+                ]
+              },
+              {
+                "index_1": [
+                  1.12867
+                ],
+                "index_2": [
+                  2.8532
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  1.4334885,
+                  1.5402745,
+                  1.7841099,
+                  2.6547651,
+                  2.8527395
+                ],
+                "values": [
+                  1.15119,
+                  0.881902,
+                  0.702378,
+                  0.881902,
+                  1.15119
+                ]
+              }
+            ]
+          },
+          "propagated_noise_low": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.13703
+                ],
+                "index_2": [
+                  62.3073
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  32.2546081,
+                  33.9394585,
+                  38.1836793,
+                  41.1288156,
+                  42.3901683
+                ],
+                "values": [
+                  0.413836,
+                  0.662137,
+                  0.827671,
+                  0.662137,
+                  0.413836
+                ]
+              },
+              {
+                "index_1": [
+                  1.17193
+                ],
+                "index_2": [
+                  39.7734
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  20.7107271,
+                  21.8905,
+                  24.9874528,
+                  27.0385093,
+                  27.8891447
+                ],
+                "values": [
+                  0.4445,
+                  0.711201,
+                  0.889001,
+                  0.711201,
+                  0.4445
+                ]
+              },
+              {
+                "index_1": [
+                  1.20602
+                ],
+                "index_2": [
+                  26.4086
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  13.850845,
+                  14.7148239,
+                  16.9896731,
+                  18.4429401,
+                  19.0256148
+                ],
+                "values": [
+                  0.455574,
+                  0.728919,
+                  0.911148,
+                  0.728919,
+                  0.455574
+                ]
+              },
+              {
+                "index_1": [
+                  1.13703
+                ],
+                "index_2": [
+                  31.1537
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  16.8297525,
+                  17.7859571,
+                  19.6918787,
+                  20.8587587,
+                  21.3806915
+                ],
+                "values": [
+                  0.144048,
+                  0.230477,
+                  0.288096,
+                  0.230477,
+                  0.144048
+                ]
+              },
+              {
+                "index_1": [
+                  1.17193
+                ],
+                "index_2": [
+                  19.8867
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  10.8569693,
+                  11.5349069,
+                  12.8776508,
+                  13.7134426,
+                  14.0614396
+                ],
+                "values": [
+                  0.154898,
+                  0.247837,
+                  0.309797,
+                  0.247837,
+                  0.154898
+                ]
+              },
+              {
+                "index_1": [
+                  1.20602
+                ],
+                "index_2": [
+                  13.2043
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  7.3132612,
+                  7.8188863,
+                  8.7447588,
+                  9.3438689,
+                  9.5848998
+                ],
+                "values": [
+                  0.156991,
+                  0.251185,
+                  0.313981,
+                  0.251185,
+                  0.156991
+                ]
+              },
+              {
+                "index_1": [
+                  1.17193
+                ],
+                "index_2": [
+                  59.6601
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  30.3820341,
+                  31.4902792,
+                  36.0521699,
+                  40.5780661,
+                  41.6660929
+                ],
+                "values": [
+                  0.634317,
+                  1.01491,
+                  1.26863,
+                  1.01491,
+                  0.634317
+                ]
+              }
+            ]
+          },
+          "stage_type": "both"
+        },
+        "ccsn_last_stage": {
+          "dc_current ccsn_dc": {
+            "index_1": [
+              -1.6,
+              -0.8,
+              -0.32,
+              -0.16,
+              0,
+              0.08,
+              0.16,
+              0.24,
+              0.32,
+              0.4,
+              0.48,
+              0.56,
+              0.64,
+              0.72,
+              0.8,
+              0.88,
+              0.96,
+              1.04,
+              1.12,
+              1.2,
+              1.28,
+              1.36,
+              1.44,
+              1.52,
+              1.6,
+              1.76,
+              1.92,
+              2.4,
+              3.2
+            ],
+            "index_2": [
+              -1.6,
+              -0.8,
+              -0.32,
+              -0.16,
+              0,
+              0.08,
+              0.16,
+              0.24,
+              0.32,
+              0.4,
+              0.48,
+              0.56,
+              0.64,
+              0.72,
+              0.8,
+              0.88,
+              0.96,
+              1.04,
+              1.12,
+              1.2,
+              1.28,
+              1.36,
+              1.44,
+              1.52,
+              1.6,
+              1.76,
+              1.92,
+              2.4,
+              3.2
+            ],
+            "values": [
+              [
+                4.74924,
+                0.378053,
+                0.355202,
+                0.345261,
+                0.33288,
+                0.325466,
+                0.317126,
+                0.307824,
+                0.297545,
+                0.286278,
+                0.274019,
+                0.260767,
+                0.246521,
+                0.231284,
+                0.215058,
+                0.197849,
+                0.17966,
+                0.1605,
+                0.140377,
+                0.1193,
+                0.0972789,
+                0.0743256,
+                0.0504525,
+                0.0256726,
+                -6.53122e-09,
+                -0.0513605,
+                -0.101502,
+                -0.270139,
+                -2.63865
+              ],
+              [
+                4.60173,
+                0.241163,
+                0.229092,
+                0.224707,
+                0.219874,
+                0.217231,
+                0.21439,
+                0.211296,
+                0.207875,
+                0.204017,
+                0.199555,
+                0.194262,
+                0.187884,
+                0.180224,
+                0.171181,
+                0.160707,
+                0.148775,
+                0.135371,
+                0.120486,
+                0.104114,
+                0.0862538,
+                0.0669061,
+                0.0460756,
+                0.02377,
+                -1.70584e-08,
+                -0.049229,
+                -0.0990406,
+                -0.271735,
+                -2.64308
+              ],
+              [
+                4.50626,
+                0.142323,
+                0.134107,
+                0.131513,
+                0.128774,
+                0.127336,
+                0.125841,
+                0.124279,
+                0.122635,
+                0.120891,
+                0.119021,
+                0.116989,
+                0.114737,
+                0.112179,
+                0.10916,
+                0.105408,
+                0.100524,
+                0.0941539,
+                0.0861149,
+                0.0763263,
+                0.0647447,
+                0.0513407,
+                0.0360922,
+                0.0189826,
+                -1.60172e-09,
+                -0.0416765,
+                -0.0866098,
+                -0.25284,
+                -2.64009
+              ],
+              [
+                4.48206,
+                0.114222,
+                0.102782,
+                0.100675,
+                0.0984782,
+                0.097337,
+                0.0961614,
+                0.0949451,
+                0.0936807,
+                0.0923581,
+                0.0909642,
+                0.0894808,
+                0.0878823,
+                0.0861301,
+                0.0841622,
+                0.0818681,
+                0.079028,
+                0.0752324,
+                0.0700073,
+                0.0630705,
+                0.054304,
+                0.043649,
+                0.0310662,
+                0.0165249,
+                -1.60155e-09,
+                -0.0375929,
+                -0.0796594,
+                -0.241174,
+                -2.63765
+              ],
+              [
+                4.46231,
+                0.101087,
+                0.0733258,
+                0.071666,
+                0.0699556,
+                0.0690768,
+                0.0681789,
+                0.0672589,
+                0.0663128,
+                0.0653357,
+                0.0643213,
+                0.063261,
+                0.0621436,
+                0.0609528,
+                0.0596648,
+                0.0582409,
+                0.0566122,
+                0.0546293,
+                0.0519391,
+                0.0479674,
+                0.0422844,
+                0.0347129,
+                0.0251741,
+                0.0136169,
+                -1.60155e-09,
+                -0.0326417,
+                -0.0711056,
+                -0.226217,
+                -2.63443
+              ],
+              [
+                4.45433,
+                0.101037,
+                0.0596989,
+                0.058249,
+                0.0567642,
+                0.0560054,
+                0.0552334,
+                0.054446,
+                0.0536406,
+                0.0528138,
+                0.0519614,
+                0.0510779,
+                0.0501558,
+                0.049185,
+                0.048151,
+                0.0470315,
+                0.045789,
+                0.0443498,
+                0.0425315,
+                0.0398777,
+                0.0357623,
+                0.0298242,
+                0.0219275,
+                0.0120033,
+                -1.6015e-09,
+                -0.0298435,
+                -0.0662173,
+                -0.217409,
+                -2.63249
+              ],
+              [
+                4.4478,
+                0.104768,
+                0.0470381,
+                0.0457896,
+                0.0445198,
+                0.0438745,
+                0.0432207,
+                0.042557,
+                0.0418817,
+                0.0411926,
+                0.0404869,
+                0.0397613,
+                0.039011,
+                0.03823,
+                0.0374096,
+                0.0365373,
+                0.0355929,
+                0.034541,
+                0.0333019,
+                0.0316435,
+                0.0289865,
+                0.0247011,
+                0.0185056,
+                0.0102935,
+                -1.602e-09,
+                -0.02684,
+                -0.0609288,
+                -0.207674,
+                -2.63032
+              ],
+              [
+                4.44281,
+                0.111992,
+                0.0355288,
+                0.0344691,
+                0.0334046,
+                0.0328667,
+                0.0323241,
+                0.031776,
+                0.0312213,
+                0.0306587,
+                0.0300864,
+                0.0295025,
+                0.0289042,
+                0.028288,
+                0.0276491,
+                0.0269806,
+                0.0262721,
+                0.0255066,
+                0.0246511,
+                0.0236184,
+                0.0221039,
+                0.0194032,
+                0.0149379,
+                0.0084997,
+                -1.61376e-09,
+                -0.0236446,
+                -0.0552557,
+                -0.196994,
+                -2.62792
+              ],
+              [
+                4.4395,
+                0.12256,
+                0.0253946,
+                0.0244705,
+                0.0236024,
+                0.0231665,
+                0.022729,
+                0.0222893,
+                0.0218467,
+                0.0214006,
+                0.0209501,
+                0.0204939,
+                0.0200307,
+                0.0195586,
+                0.0190751,
+                0.0185767,
+                0.0180584,
+                0.0175124,
+                0.0169252,
+                0.0162673,
+                0.0154413,
+                0.0140438,
+                0.0112704,
+                0.00663946,
+                -1.81533e-09,
+                -0.0202765,
+                -0.0492227,
+                -0.185361,
+                -2.62526
+              ],
+              [
+                4.43804,
+                0.136394,
+                0.0170283,
+                0.0159772,
+                0.0152974,
+                0.014959,
+                0.0146211,
+                0.0142835,
+                0.0139458,
+                0.0136078,
+                0.0132689,
+                0.0129287,
+                0.0125865,
+                0.0122414,
+                0.0118922,
+                0.0115375,
+                0.0111751,
+                0.0108017,
+                0.0104122,
+                0.00999709,
+                0.0095315,
+                0.00890547,
+                0.00759174,
+                0.00474305,
+                -5.23043e-09,
+                -0.0167626,
+                -0.0428665,
+                -0.172787,
+                -2.62235
+              ],
+              [
+                4.43856,
+                0.153437,
+                0.0113964,
+                0.00918438,
+                0.00867819,
+                0.00843394,
+                0.00819172,
+                0.00795144,
+                0.00771301,
+                0.00747631,
+                0.00724118,
+                0.00700744,
+                0.00677484,
+                0.00654307,
+                0.00631174,
+                0.00608028,
+                0.00584796,
+                0.0056137,
+                0.00537586,
+                0.00513166,
+                0.00487534,
+                0.00458808,
+                0.00414514,
+                0.00288067,
+                -6.41789e-08,
+                -0.0131409,
+                -0.0362392,
+                -0.159315,
+                -2.61918
+              ],
+              [
+                4.4412,
+                0.17366,
+                0.0105723,
+                0.0043557,
+                0.00394744,
+                0.00379514,
+                0.00364587,
+                0.00349949,
+                0.00335598,
+                0.0032153,
+                0.00307743,
+                0.0029423,
+                0.00280986,
+                0.00268001,
+                0.00255267,
+                0.00242767,
+                0.00230482,
+                0.00218387,
+                0.00206439,
+                0.00194579,
+                0.00182692,
+                0.00170504,
+                0.00156723,
+                0.00125998,
+                -9.90339e-07,
+                -0.00947407,
+                -0.0294222,
+                -0.14506,
+                -2.61575
+              ],
+              [
+                4.44612,
+                0.197037,
+                0.0162672,
+                0.00194852,
+                0.00125324,
+                0.00118262,
+                0.00111655,
+                0.00105308,
+                0.000992125,
+                0.000933617,
+                0.000877494,
+                0.000823695,
+                0.000772152,
+                0.000722793,
+                0.000675541,
+                0.000630312,
+                0.000587011,
+                0.00054553,
+                0.000505743,
+                0.000467487,
+                0.000430531,
+                0.000394457,
+                0.000358005,
+                0.000308742,
+                -1.41535e-05,
+                -0.00590997,
+                -0.022576,
+                -0.13027,
+                -2.61214
+              ],
+              [
+                4.45335,
+                0.223277,
+                0.0278754,
+                0.00280487,
+                0.000267757,
+                0.000222192,
+                0.00019898,
+                0.000177333,
+                0.000156692,
+                0.000136929,
+                0.000117969,
+                9.97571e-05,
+                8.22429e-05,
+                6.53799e-05,
+                4.91241e-05,
+                3.34326e-05,
+                1.82634e-05,
+                3.5744e-06,
+                -1.06778e-05,
+                -2.45401e-05,
+                -3.8068e-05,
+                -5.13407e-05,
+                -6.45358e-05,
+                -7.88943e-05,
+                -0.000145311,
+                -0.00295858,
+                -0.0161337,
+                -0.115456,
+                -2.60864
+              ],
+              [
+                4.46231,
+                0.251379,
+                0.0433177,
+                0.00793406,
+                5.06243e-05,
+                -0.000146085,
+                -0.000191506,
+                -0.000231699,
+                -0.00027091,
+                -0.000309794,
+                -0.000348566,
+                -0.000387317,
+                -0.000426099,
+                -0.000464941,
+                -0.000503861,
+                -0.000542875,
+                -0.000581992,
+                -0.000621222,
+                -0.000660574,
+                -0.000700055,
+                -0.000739677,
+                -0.000779456,
+                -0.000819427,
+                -0.000859794,
+                -0.00091032,
+                -0.00186689,
+                -0.0111863,
+                -0.101753,
+                -2.60637
+              ],
+              [
+                4.47202,
+                0.280352,
+                0.0608004,
+                0.0168023,
+                9.8335e-06,
+                -0.0010141,
+                -0.00121639,
+                -0.00137042,
+                -0.00151615,
+                -0.00165898,
+                -0.00180058,
+                -0.00194167,
+                -0.00208259,
+                -0.00222355,
+                -0.00236467,
+                -0.00250602,
+                -0.00264765,
+                -0.00278959,
+                -0.00293186,
+                -0.00307448,
+                -0.00321747,
+                -0.00336085,
+                -0.00350465,
+                -0.00364894,
+                -0.00379557,
+                -0.0042687,
+                -0.0098442,
+                -0.0914543,
+                -2.6079
+              ],
+              [
+                4.48199,
+                0.30977,
+                0.0791723,
+                0.0272672,
+                1.75994e-06,
+                -0.00382511,
+                -0.00477196,
+                -0.00524657,
+                -0.00564873,
+                -0.00602622,
+                -0.00639183,
+                -0.00675071,
+                -0.00710539,
+                -0.00745731,
+                -0.00780733,
+                -0.00815602,
+                -0.00850375,
+                -0.00885079,
+                -0.00919735,
+                -0.00954357,
+                -0.00988958,
+                -0.0102355,
+                -0.0105814,
+                -0.0109275,
+                -0.0112742,
+                -0.0120029,
+                -0.014953,
+                -0.0875172,
+                -2.61623
+              ],
+              [
+                4.49214,
+                0.339433,
+                0.097586,
+                0.0378302,
+                2.24042e-07,
+                -0.00870242,
+                -0.0122277,
+                -0.0135509,
+                -0.014426,
+                -0.0151738,
+                -0.015866,
+                -0.0165277,
+                -0.0171705,
+                -0.0178006,
+                -0.0184216,
+                -0.019036,
+                -0.0196452,
+                -0.0202504,
+                -0.0208524,
+                -0.0214518,
+                -0.0220491,
+                -0.0226446,
+                -0.0232388,
+                -0.0238319,
+                -0.0244245,
+                -0.0256164,
+                -0.0274519,
+                -0.0906818,
+                -2.63138
+              ],
+              [
+                4.50241,
+                0.369194,
+                0.115461,
+                0.0479892,
+                1.77112e-08,
+                -0.0142468,
+                -0.0222063,
+                -0.025641,
+                -0.0273921,
+                -0.0286783,
+                -0.0297863,
+                -0.0308046,
+                -0.03177,
+                -0.0327009,
+                -0.0336077,
+                -0.0344968,
+                -0.0353725,
+                -0.0362377,
+                -0.0370946,
+                -0.0379446,
+                -0.0387889,
+                -0.0396286,
+                -0.0404644,
+                -0.0412972,
+                -0.0421276,
+                -0.0437859,
+                -0.0455773,
+                -0.0994287,
+                -2.65113
+              ],
+              [
+                4.5128,
+                0.39892,
+                0.132491,
+                0.05761,
+                2.41842e-09,
+                -0.0197298,
+                -0.0327502,
+                -0.0398264,
+                -0.0432283,
+                -0.0453307,
+                -0.0469756,
+                -0.0484104,
+                -0.0497286,
+                -0.0509738,
+                -0.0521694,
+                -0.0533295,
+                -0.054463,
+                -0.0555759,
+                -0.0566724,
+                -0.0577557,
+                -0.058828,
+                -0.0598914,
+                -0.0609472,
+                -0.0619968,
+                -0.0630416,
+                -0.0651214,
+                -0.0672237,
+                -0.112343,
+                -2.67379
+              ],
+              [
+                4.5233,
+                0.428479,
+                0.148562,
+                0.0666621,
+                1.64566e-09,
+                -0.0249479,
+                -0.0430206,
+                -0.0545931,
+                -0.0607397,
+                -0.0641192,
+                -0.066486,
+                -0.0684233,
+                -0.070137,
+                -0.0717167,
+                -0.0732084,
+                -0.0746383,
+                -0.0760227,
+                -0.0773724,
+                -0.0786947,
+                -0.0799951,
+                -0.0812774,
+                -0.082545,
+                -0.0838001,
+                -0.0850449,
+                -0.0862813,
+                -0.0887362,
+                -0.0911849,
+                -0.128594,
+                -2.69847
+              ],
+              [
+                4.53389,
+                0.457743,
+                0.163659,
+                0.0751483,
+                1.62095e-09,
+                -0.0298546,
+                -0.0527862,
+                -0.0690475,
+                -0.0789176,
+                -0.0842522,
+                -0.0876179,
+                -0.0901807,
+                -0.0923507,
+                -0.0942961,
+                -0.0960986,
+                -0.0978031,
+                -0.0994368,
+                -0.101017,
+                -0.102556,
+                -0.104062,
+                -0.105541,
+                -0.106997,
+                -0.108435,
+                -0.109858,
+                -0.111268,
+                -0.11406,
+                -0.11683,
+                -0.147659,
+                -2.7247
+              ],
+              [
+                4.54456,
+                0.486576,
+                0.1778,
+                0.0830841,
+                1.63843e-09,
+                -0.0344461,
+                -0.0619895,
+                -0.0828384,
+                -0.0969596,
+                -0.10506,
+                -0.10982,
+                -0.113179,
+                -0.115889,
+                -0.118243,
+                -0.120379,
+                -0.122369,
+                -0.124256,
+                -0.126066,
+                -0.127816,
+                -0.12952,
+                -0.131186,
+                -0.132821,
+                -0.134431,
+                -0.136018,
+                -0.137588,
+                -0.140687,
+                -0.143751,
+                -0.169149,
+                -2.75214
+              ],
+              [
+                4.55531,
+                0.514833,
+                0.191023,
+                0.0904912,
+                1.67068e-09,
+                -0.0387313,
+                -0.0706244,
+                -0.0958638,
+                -0.114352,
+                -0.125951,
+                -0.132627,
+                -0.137016,
+                -0.140375,
+                -0.143195,
+                -0.145696,
+                -0.147989,
+                -0.150138,
+                -0.15218,
+                -0.154141,
+                -0.15604,
+                -0.157887,
+                -0.159694,
+                -0.161466,
+                -0.163209,
+                -0.164928,
+                -0.168312,
+                -0.171646,
+                -0.192748,
+                -2.78056
+              ],
+              [
+                4.56611,
+                0.542354,
+                0.203367,
+                0.0973933,
+                1.72235e-09,
+                -0.042723,
+                -0.0787035,
+                -0.108107,
+                -0.130854,
+                -0.146418,
+                -0.155624,
+                -0.161353,
+                -0.165504,
+                -0.168865,
+                -0.171771,
+                -0.17439,
+                -0.176813,
+                -0.179094,
+                -0.181269,
+                -0.183362,
+                -0.185388,
+                -0.187362,
+                -0.189291,
+                -0.191184,
+                -0.193045,
+                -0.196697,
+                -0.200283,
+                -0.218183,
+                -2.80975
+              ],
+              [
+                4.58781,
+                0.594472,
+                0.225588,
+                0.109783,
+                1.91528e-09,
+                -0.0498845,
+                -0.0932781,
+                -0.130312,
+                -0.16097,
+                -0.184722,
+                -0.200696,
+                -0.210367,
+                -0.216716,
+                -0.221459,
+                -0.225342,
+                -0.228709,
+                -0.231738,
+                -0.234531,
+                -0.237152,
+                -0.239641,
+                -0.242028,
+                -0.244331,
+                -0.246568,
+                -0.248748,
+                -0.250881,
+                -0.255037,
+                -0.259087,
+                -0.273619,
+                -2.86989
+              ],
+              [
+                4.60945,
+                0.64139,
+                0.244799,
+                0.120453,
+                2.29372e-09,
+                -0.0560488,
+                -0.105905,
+                -0.149669,
+                -0.187357,
+                -0.218657,
+                -0.242465,
+                -0.258074,
+                -0.267829,
+                -0.274558,
+                -0.279734,
+                -0.284029,
+                -0.287774,
+                -0.291149,
+                -0.294259,
+                -0.297171,
+                -0.299933,
+                -0.302574,
+                -0.305119,
+                -0.307583,
+                -0.309982,
+                -0.31462,
+                -0.319103,
+                -0.333375,
+                -2.93158
+              ],
+              [
+                4.66858,
+                0.742739,
+                0.287453,
+                0.143987,
+                5.56154e-09,
+                -0.0696525,
+                -0.134075,
+                -0.193302,
+                -0.247357,
+                -0.296197,
+                -0.339576,
+                -0.376646,
+                -0.405566,
+                -0.425416,
+                -0.438544,
+                -0.447851,
+                -0.455034,
+                -0.460947,
+                -0.466042,
+                -0.470573,
+                -0.474698,
+                -0.478517,
+                -0.482098,
+                -0.48549,
+                -0.488729,
+                -0.494845,
+                -0.500602,
+                -0.51773,
+                -3.1196
+              ],
+              [
+                4.71432,
+                0.820176,
+                0.323496,
+                0.163677,
+                2.4958e-08,
+                -0.0811577,
+                -0.158468,
+                -0.231926,
+                -0.301528,
+                -0.367267,
+                -0.429123,
+                -0.487041,
+                -0.540864,
+                -0.590173,
+                -0.633926,
+                -0.670151,
+                -0.697285,
+                -0.716499,
+                -0.730443,
+                -0.741168,
+                -0.749878,
+                -0.757258,
+                -0.763712,
+                -0.769493,
+                -0.774765,
+                -0.784203,
+                -0.7926,
+                -0.815251,
+                -3.42206
+              ]
+            ]
+          },
+          "is_inverting": "true",
+          "is_needed": "true",
+          "miller_cap_fall": 0.00083195,
+          "miller_cap_rise": 0.00060997,
+          "output_voltage_fall": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.0036196
+                ],
+                "index_3": [
+                  0.0188886,
+                  0.0275666,
+                  0.0364767,
+                  0.0462764,
+                  0.0599664
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              },
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.0108588
+                ],
+                "index_3": [
+                  0.0251008,
+                  0.046266,
+                  0.0685657,
+                  0.0924241,
+                  0.1251903
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.0036196
+                ],
+                "index_3": [
+                  0.0874046,
+                  0.0991117,
+                  0.1082227,
+                  0.1179826,
+                  0.131726
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.0108588
+                ],
+                "index_3": [
+                  0.0968107,
+                  0.1183402,
+                  0.1405974,
+                  0.1641895,
+                  0.1970766
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              }
+            ]
+          },
+          "output_voltage_rise": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.00131167
+                ],
+                "index_3": [
+                  0.0299261,
+                  0.0428596,
+                  0.0563337,
+                  0.0713974,
+                  0.0958517
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              },
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.003935
+                ],
+                "index_3": [
+                  0.0369173,
+                  0.0623484,
+                  0.0896477,
+                  0.1203759,
+                  0.1664484
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.00131167
+                ],
+                "index_3": [
+                  0.1015425,
+                  0.1143335,
+                  0.1279034,
+                  0.1433405,
+                  0.1676422
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.003935
+                ],
+                "index_3": [
+                  0.1089449,
+                  0.1344913,
+                  0.1618047,
+                  0.19188,
+                  0.2380487
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              }
+            ]
+          },
+          "propagated_noise_high": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.1041
+                ],
+                "index_2": [
+                  1.3941
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.7015108,
+                  0.7565083,
+                  0.9661298,
+                  1.1641274,
+                  1.237881
+                ],
+                "values": [
+                  1.15822,
+                  0.893155,
+                  0.716444,
+                  0.893155,
+                  1.15822
+                ]
+              },
+              {
+                "index_1": [
+                  1.18038
+                ],
+                "index_2": [
+                  0.806698
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.4086029,
+                  0.4460751,
+                  0.5879434,
+                  0.7099608,
+                  0.7608041
+                ],
+                "values": [
+                  1.14651,
+                  0.87442,
+                  0.693025,
+                  0.87442,
+                  1.14651
+                ]
+              },
+              {
+                "index_1": [
+                  1.2488
+                ],
+                "index_2": [
+                  0.541089
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.2757807,
+                  0.304107,
+                  0.4201288,
+                  0.4973579,
+                  0.534981
+                ],
+                "values": [
+                  1.13865,
+                  0.861846,
+                  0.677307,
+                  0.861846,
+                  1.13865
+                ]
+              },
+              {
+                "index_1": [
+                  1.1041
+                ],
+                "index_2": [
+                  0.697052
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.3595157,
+                  0.392377,
+                  0.5223025,
+                  0.5963479,
+                  0.6374801
+                ],
+                "values": [
+                  1.3726,
+                  1.23616,
+                  1.14519,
+                  1.23616,
+                  1.3726
+                ]
+              },
+              {
+                "index_1": [
+                  1.2488
+                ],
+                "index_2": [
+                  0.270545
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.1433916,
+                  0.1606922,
+                  0.2180435,
+                  0.2574121,
+                  0.2805347
+                ],
+                "values": [
+                  1.36789,
+                  1.22862,
+                  1.13577,
+                  1.22862,
+                  1.36789
+                ]
+              },
+              {
+                "index_1": [
+                  1.18038
+                ],
+                "index_2": [
+                  0.806698
+                ],
+                "index_3": [
+                  0.0108588
+                ],
+                "index_4": [
+                  0.4118444,
+                  0.4510615,
+                  0.5869026,
+                  0.726376,
+                  0.7915965
+                ],
+                "values": [
+                  1.40453,
+                  1.28725,
+                  1.20907,
+                  1.28725,
+                  1.40453
+                ]
+              },
+              {
+                "index_1": [
+                  1.2488
+                ],
+                "index_2": [
+                  0.541089
+                ],
+                "index_3": [
+                  0.0108588
+                ],
+                "index_4": [
+                  0.27817,
+                  0.3088227,
+                  0.4224133,
+                  0.5083041,
+                  0.5594671
+                ],
+                "values": [
+                  1.40136,
+                  1.28218,
+                  1.20272,
+                  1.28218,
+                  1.40136
+                ]
+              }
+            ]
+          },
+          "propagated_noise_low": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.18421
+                ],
+                "index_2": [
+                  2.57649
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  1.2980511,
+                  1.3902632,
+                  1.7139063,
+                  1.8850914,
+                  1.9571878
+                ],
+                "values": [
+                  0.493614,
+                  0.789783,
+                  0.987229,
+                  0.789783,
+                  0.493614
+                ]
+              },
+              {
+                "index_1": [
+                  1.2548
+                ],
+                "index_2": [
+                  1.51551
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  0.7688288,
+                  0.8329439,
+                  1.0255142,
+                  1.1556873,
+                  1.2031148
+                ],
+                "values": [
+                  0.513328,
+                  0.821325,
+                  1.02666,
+                  0.821325,
+                  0.513328
+                ]
+              },
+              {
+                "index_1": [
+                  1.31575
+                ],
+                "index_2": [
+                  1.03273
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  0.5268636,
+                  0.5757628,
+                  0.7140312,
+                  0.8148045,
+                  0.8488782
+                ],
+                "values": [
+                  0.527526,
+                  0.844041,
+                  1.05505,
+                  0.844041,
+                  0.527526
+                ]
+              },
+              {
+                "index_1": [
+                  1.18421
+                ],
+                "index_2": [
+                  1.28824
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  0.6651608,
+                  0.7187977,
+                  0.8801684,
+                  0.9602191,
+                  0.9952697
+                ],
+                "values": [
+                  0.266452,
+                  0.426324,
+                  0.532905,
+                  0.426324,
+                  0.266452
+                ]
+              },
+              {
+                "index_1": [
+                  1.31575
+                ],
+                "index_2": [
+                  0.516364
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  0.2740289,
+                  0.3038656,
+                  0.384301,
+                  0.4152739,
+                  0.4322568
+                ],
+                "values": [
+                  0.280207,
+                  0.448332,
+                  0.560414,
+                  0.448332,
+                  0.280207
+                ]
+              },
+              {
+                "index_1": [
+                  1.2548
+                ],
+                "index_2": [
+                  1.51551
+                ],
+                "index_3": [
+                  0.003935
+                ],
+                "index_4": [
+                  0.7727273,
+                  0.8401517,
+                  1.0554991,
+                  1.1717085,
+                  1.2196482
+                ],
+                "values": [
+                  0.278676,
+                  0.445882,
+                  0.557353,
+                  0.445882,
+                  0.278676
+                ]
+              },
+              {
+                "index_1": [
+                  1.31575
+                ],
+                "index_2": [
+                  1.03273
+                ],
+                "index_3": [
+                  0.003935
+                ],
+                "index_4": [
+                  0.5299942,
+                  0.5812526,
+                  0.7233189,
+                  0.8246491,
+                  0.8596153
+                ],
+                "values": [
+                  0.282969,
+                  0.452751,
+                  0.565939,
+                  0.452751,
+                  0.282969
+                ]
+              }
+            ]
+          },
+          "stage_type": "both"
+        },
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00144888,
+            0.00419848,
+            0.0121662,
+            0.0352545,
+            0.102159,
+            0.29603
+          ],
+          "values": [
+            [
+              0.8445868,
+              0.8653822,
+              0.9099596,
+              0.9920174,
+              1.1542626,
+              1.4959035,
+              2.3561917
+            ],
+            [
+              0.8496892,
+              0.870662,
+              0.9150539,
+              0.9969662,
+              1.1593516,
+              1.5009886,
+              2.3612508
+            ],
+            [
+              0.8704704,
+              0.8915751,
+              0.9348582,
+              1.0177731,
+              1.1797948,
+              1.5214159,
+              2.3816897
+            ],
+            [
+              0.9463209,
+              0.9671569,
+              1.0111644,
+              1.0952923,
+              1.2552476,
+              1.5963344,
+              2.4577576
+            ],
+            [
+              1.1686171,
+              1.1893621,
+              1.2339124,
+              1.316388,
+              1.4786232,
+              1.8203322,
+              2.6820952
+            ],
+            [
+              1.7550041,
+              1.7760341,
+              1.8189124,
+              1.9029787,
+              2.0638715,
+              2.4047693,
+              3.2659762
+            ],
+            [
+              3.2111469,
+              3.2349228,
+              3.2829377,
+              3.3729504,
+              3.5447978,
+              3.8983319,
+              4.7573367
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00144888,
+            0.00419848,
+            0.0121662,
+            0.0352545,
+            0.102159,
+            0.29603
+          ],
+          "values": [
+            [
+              0.1484061,
+              0.1640253,
+              0.2040179,
+              0.3096069,
+              0.6006913,
+              1.4281045,
+              3.8125236
+            ],
+            [
+              0.156521,
+              0.1721409,
+              0.2119923,
+              0.3174676,
+              0.6086368,
+              1.4352541,
+              3.8225156
+            ],
+            [
+              0.1811047,
+              0.1966133,
+              0.2363563,
+              0.3415907,
+              0.6325511,
+              1.4598512,
+              3.8453534
+            ],
+            [
+              0.2502943,
+              0.2654734,
+              0.3045367,
+              0.4089987,
+              0.6998076,
+              1.525517,
+              3.9167967
+            ],
+            [
+              0.4206107,
+              0.4361508,
+              0.4754439,
+              0.5788477,
+              0.8692366,
+              1.6947679,
+              4.0867141
+            ],
+            [
+              0.7543379,
+              0.7717034,
+              0.8123821,
+              0.9161422,
+              1.2064194,
+              2.0349136,
+              4.4177138
+            ],
+            [
+              1.3874371,
+              1.4111861,
+              1.4637273,
+              1.5721978,
+              1.8589423,
+              2.6873408,
+              5.0752851
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00144888,
+            0.00419848,
+            0.0121662,
+            0.0352545,
+            0.102159,
+            0.29603
+          ],
+          "values": [
+            [
+              0.0811004,
+              0.09249,
+              0.1177724,
+              0.1776534,
+              0.3144903,
+              0.6678108,
+              1.7463502
+            ],
+            [
+              0.0811688,
+              0.0919539,
+              0.1177518,
+              0.1777874,
+              0.3144933,
+              0.6681027,
+              1.7462426
+            ],
+            [
+              0.0803574,
+              0.0920621,
+              0.1166427,
+              0.177271,
+              0.3145242,
+              0.6671739,
+              1.7460391
+            ],
+            [
+              0.0814222,
+              0.0926802,
+              0.1166463,
+              0.1750445,
+              0.3147767,
+              0.6705301,
+              1.7478694
+            ],
+            [
+              0.0810568,
+              0.0922057,
+              0.1171642,
+              0.1768541,
+              0.31437,
+              0.6696078,
+              1.7536087
+            ],
+            [
+              0.0803838,
+              0.0914667,
+              0.1176228,
+              0.176881,
+              0.3123075,
+              0.6676193,
+              1.7455464
+            ],
+            [
+              0.0950902,
+              0.1045961,
+              0.1313219,
+              0.1917775,
+              0.3342444,
+              0.6819094,
+              1.7532444
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00144888,
+            0.00419848,
+            0.0121662,
+            0.0352545,
+            0.102159,
+            0.29603
+          ],
+          "values": [
+            [
+              0.0434515,
+              0.0594924,
+              0.1056239,
+              0.2393185,
+              0.6254358,
+              1.7484598,
+              4.9942126
+            ],
+            [
+              0.0432969,
+              0.0592832,
+              0.1051862,
+              0.2384869,
+              0.6259657,
+              1.7450217,
+              4.9950679
+            ],
+            [
+              0.0427608,
+              0.0590495,
+              0.1051882,
+              0.2391775,
+              0.6252336,
+              1.7479662,
+              4.9916373
+            ],
+            [
+              0.0422544,
+              0.0581176,
+              0.1041454,
+              0.2378954,
+              0.6258678,
+              1.7511951,
+              5.0115769
+            ],
+            [
+              0.0448769,
+              0.0601019,
+              0.1041434,
+              0.2365428,
+              0.6252744,
+              1.7525754,
+              4.9970916
+            ],
+            [
+              0.0547831,
+              0.0694754,
+              0.1101486,
+              0.2378729,
+              0.6217801,
+              1.7503529,
+              4.9922826
+            ],
+            [
+              0.0862978,
+              0.1010604,
+              0.1376115,
+              0.2487459,
+              0.6317044,
+              1.7540948,
+              5.0059432
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "ccsn_first_stage": {
+          "dc_current ccsn_dc": {
+            "index_1": [
+              -1.6,
+              -0.8,
+              -0.32,
+              -0.16,
+              0,
+              0.08,
+              0.16,
+              0.24,
+              0.32,
+              0.4,
+              0.48,
+              0.56,
+              0.64,
+              0.72,
+              0.8,
+              0.88,
+              0.96,
+              1.04,
+              1.12,
+              1.2,
+              1.28,
+              1.36,
+              1.44,
+              1.52,
+              1.6,
+              1.76,
+              1.92,
+              2.4,
+              3.2
+            ],
+            "index_2": [
+              -1.6,
+              -0.8,
+              -0.32,
+              -0.16,
+              0,
+              0.08,
+              0.16,
+              0.24,
+              0.32,
+              0.4,
+              0.48,
+              0.56,
+              0.64,
+              0.72,
+              0.8,
+              0.88,
+              0.96,
+              1.04,
+              1.12,
+              1.2,
+              1.28,
+              1.36,
+              1.44,
+              1.52,
+              1.6,
+              1.76,
+              1.92,
+              2.4,
+              3.2
+            ],
+            "values": [
+              [
+                3.40821,
+                0.0848218,
+                0.0125468,
+                0.0124306,
+                0.0123092,
+                0.0122464,
+                0.0121819,
+                0.0121157,
+                0.0120474,
+                0.0119768,
+                0.0119035,
+                0.0118271,
+                0.0117468,
+                0.0116619,
+                0.0115707,
+                0.0114711,
+                0.0113581,
+                0.0112175,
+                0.0109829,
+                0.010461,
+                0.00947634,
+                0.00795718,
+                0.00587439,
+                0.0032202,
+                -1.33157e-08,
+                -0.00802354,
+                -0.0179017,
+                -0.0575337,
+                -1.62574
+              ],
+              [
+                3.40803,
+                0.0846571,
+                0.0123894,
+                0.0122756,
+                0.0121569,
+                0.0120954,
+                0.0120323,
+                0.0119675,
+                0.0119006,
+                0.0118315,
+                0.0117598,
+                0.0116849,
+                0.0116064,
+                0.0115232,
+                0.011434,
+                0.0113364,
+                0.0112258,
+                0.0110881,
+                0.0108578,
+                0.0103434,
+                0.00937124,
+                0.00787006,
+                0.00581086,
+                0.0031858,
+                -1.29953e-08,
+                -0.00794066,
+                -0.0177227,
+                -0.0570698,
+                -1.62567
+              ],
+              [
+                3.40753,
+                0.0843947,
+                0.0118646,
+                0.011759,
+                0.0116487,
+                0.0115915,
+                0.0115328,
+                0.0114725,
+                0.0114103,
+                0.011346,
+                0.0112792,
+                0.0112095,
+                0.0111364,
+                0.011059,
+                0.0109759,
+                0.0108851,
+                0.0107821,
+                0.0106538,
+                0.0104374,
+                0.00994756,
+                0.00901629,
+                0.00757445,
+                0.00559393,
+                0.00306737,
+                -1.30916e-08,
+                -0.00764879,
+                -0.0170805,
+                -0.0551841,
+                -1.62538
+              ],
+              [
+                3.40744,
+                0.0870495,
+                0.0114922,
+                0.0113923,
+                0.0112879,
+                0.0112337,
+                0.0111781,
+                0.0111209,
+                0.011062,
+                0.011001,
+                0.0109377,
+                0.0108717,
+                0.0108023,
+                0.0107289,
+                0.0106502,
+                0.0105641,
+                0.0104665,
+                0.0103447,
+                0.0101381,
+                0.00966579,
+                0.00876387,
+                0.0073646,
+                0.00544032,
+                0.0029838,
+                -1.34146e-08,
+                -0.00744725,
+                -0.0166454,
+                -0.0539737,
+                -1.6252
+              ],
+              [
+                3.40745,
+                0.0982696,
+                0.0109023,
+                0.0108113,
+                0.010716,
+                0.0106666,
+                0.0106159,
+                0.0105637,
+                0.0105098,
+                0.0104541,
+                0.0103963,
+                0.0103359,
+                0.0102725,
+                0.0102053,
+                0.0101333,
+                0.0100546,
+                0.00996537,
+                0.00985392,
+                0.0096628,
+                0.00921858,
+                0.00836398,
+                0.00703317,
+                0.00519879,
+                0.00285311,
+                -1.30705e-08,
+                -0.0071416,
+                -0.0160014,
+                -0.0522891,
+                -1.62496
+              ],
+              [
+                3.40745,
+                0.107053,
+                0.0104686,
+                0.010384,
+                0.0102955,
+                0.0102495,
+                0.0102023,
+                0.0101537,
+                0.0101036,
+                0.0100517,
+                0.00999782,
+                0.00994157,
+                0.0098825,
+                0.00981993,
+                0.00975282,
+                0.00967946,
+                0.00959631,
+                0.00949236,
+                0.00931261,
+                0.00888943,
+                0.00807041,
+                0.00679091,
+                0.00502326,
+                0.00275881,
+                -1.36733e-08,
+                -0.00692915,
+                -0.0155657,
+                -0.051217,
+                -1.62482
+              ],
+              [
+                3.40739,
+                0.117161,
+                0.00988006,
+                0.00980384,
+                0.0097244,
+                0.00968313,
+                0.0096407,
+                0.009597,
+                0.00955189,
+                0.00950518,
+                0.00945665,
+                0.00940597,
+                0.00935273,
+                0.00929633,
+                0.00923583,
+                0.00916969,
+                0.00909472,
+                0.00900086,
+                0.0088366,
+                0.00844263,
+                0.00767327,
+                0.00646495,
+                0.00478878,
+                0.00263389,
+                -1.38989e-08,
+                -0.00665901,
+                -0.015026,
+                -0.0499578,
+                -1.62465
+              ],
+              [
+                3.40716,
+                0.128103,
+                0.00904152,
+                0.0089725,
+                0.00890606,
+                0.00887148,
+                0.00883589,
+                0.00879919,
+                0.00876127,
+                0.00872197,
+                0.00868109,
+                0.00863837,
+                0.00859347,
+                0.00854586,
+                0.00849477,
+                0.00843891,
+                0.00837556,
+                0.00829604,
+                0.00815423,
+                0.00780388,
+                0.00710907,
+                0.00600632,
+                0.00446283,
+                0.00246258,
+                -1.39681e-08,
+                -0.00631032,
+                -0.0143531,
+                -0.0484826,
+                -1.62447
+              ],
+              [
+                3.40654,
+                0.139393,
+                0.00776643,
+                0.00766795,
+                0.00762208,
+                0.00759812,
+                0.00757338,
+                0.0075478,
+                0.00752127,
+                0.0074937,
+                0.00746495,
+                0.00743482,
+                0.00740307,
+                0.00736932,
+                0.00733304,
+                0.00729329,
+                0.00724812,
+                0.0071911,
+                0.00708565,
+                0.00680978,
+                0.00624286,
+                0.00531585,
+                0.00398323,
+                0.00221636,
+                1.34377e-08,
+                -0.00585305,
+                -0.0135095,
+                -0.0467605,
+                -1.62426
+              ],
+              [
+                3.40493,
+                0.150265,
+                0.00568022,
+                0.00532225,
+                0.00530824,
+                0.00530125,
+                0.00529396,
+                0.00528634,
+                0.00527836,
+                0.00526998,
+                0.00526115,
+                0.0052518,
+                0.00524185,
+                0.00523117,
+                0.00521957,
+                0.00520673,
+                0.00519199,
+                0.00517302,
+                0.00513423,
+                0.0050141,
+                0.00472406,
+                0.00415904,
+                0.00321848,
+                0.0018409,
+                -1.31748e-08,
+                -0.00524496,
+                -0.0124486,
+                -0.0447609,
+                -1.62404
+              ],
+              [
+                3.40309,
+                0.161203,
+                0.0040194,
+                0.00246222,
+                0.00244866,
+                0.00244709,
+                0.00244546,
+                0.00244376,
+                0.00244197,
+                0.0024401,
+                0.00243813,
+                0.00243606,
+                0.00243386,
+                0.00243153,
+                0.00242903,
+                0.0024263,
+                0.00242322,
+                0.0024193,
+                0.00240983,
+                0.00237568,
+                0.00230182,
+                0.00217825,
+                0.00192089,
+                0.00124558,
+                -4.8636e-08,
+                -0.00443213,
+                -0.0111193,
+                -0.0424592,
+                -1.62379
+              ],
+              [
+                3.40257,
+                0.173702,
+                0.00583566,
+                0.000834542,
+                0.000744251,
+                0.000743757,
+                0.000743359,
+                0.000742932,
+                0.000742479,
+                0.000741997,
+                0.000741476,
+                0.000740921,
+                0.000740324,
+                0.00073968,
+                0.000738981,
+                0.000738225,
+                0.00073739,
+                0.000736273,
+                0.000731964,
+                0.000713579,
+                0.000678527,
+                0.000633847,
+                0.000581942,
+                0.000483675,
+                -5.52121e-07,
+                -0.00336756,
+                -0.00947987,
+                -0.0398511,
+                -1.62354
+              ],
+              [
+                3.40325,
+                0.187572,
+                0.0117791,
+                0.000670466,
+                0.000156717,
+                0.000154285,
+                0.000153664,
+                0.000153083,
+                0.000152499,
+                0.000151909,
+                0.000151304,
+                0.000150687,
+                0.000150052,
+                0.000149412,
+                0.000148847,
+                0.000148569,
+                0.000148364,
+                0.000148017,
+                0.000145516,
+                0.000137868,
+                0.000127384,
+                0.000116408,
+                0.00010531,
+                9.172e-05,
+                -7.46471e-06,
+                -0.00210434,
+                -0.00756239,
+                -0.0369847,
+                -1.62329
+              ],
+              [
+                3.40446,
+                0.202037,
+                0.0205415,
+                0.0022485,
+                3.09647e-05,
+                4.80142e-06,
+                -1.33399e-06,
+                -6.92583e-06,
+                -1.24478e-05,
+                -1.79752e-05,
+                -2.35276e-05,
+                -2.90985e-05,
+                -3.45769e-05,
+                -3.92441e-05,
+                -4.11398e-05,
+                -4.17304e-05,
+                -4.21948e-05,
+                -4.2819e-05,
+                -4.44012e-05,
+                -4.67267e-05,
+                -4.91425e-05,
+                -5.14805e-05,
+                -5.37732e-05,
+                -5.63467e-05,
+                -7.5141e-05,
+                -0.00101663,
+                -0.00559882,
+                -0.0340068,
+                -1.6231
+              ],
+              [
+                3.4058,
+                0.216624,
+                0.0308187,
+                0.00647315,
+                6.34823e-06,
+                -0.000176762,
+                -0.000216885,
+                -0.000252223,
+                -0.000286777,
+                -0.000321107,
+                -0.000355263,
+                -0.000388693,
+                -0.000418097,
+                -0.000433049,
+                -0.000436992,
+                -0.0004397,
+                -0.0004421,
+                -0.000444456,
+                -0.000446839,
+                -0.00044912,
+                -0.000451269,
+                -0.000453295,
+                -0.000455217,
+                -0.000457107,
+                -0.000462024,
+                -0.000733313,
+                -0.00406814,
+                -0.0312722,
+                -1.62327
+              ],
+              [
+                3.40717,
+                0.231138,
+                0.0416679,
+                0.0128337,
+                1.18962e-06,
+                -0.000966876,
+                -0.00116059,
+                -0.00130655,
+                -0.00144387,
+                -0.00157703,
+                -0.00170543,
+                -0.00182181,
+                -0.00190117,
+                -0.00192615,
+                -0.00193644,
+                -0.00194482,
+                -0.00195247,
+                -0.00195968,
+                -0.00196651,
+                -0.00197301,
+                -0.00197922,
+                -0.00198515,
+                -0.00199084,
+                -0.00199632,
+                -0.00200209,
+                -0.00206702,
+                -0.00398041,
+                -0.0296917,
+                -1.62466
+              ],
+              [
+                3.40853,
+                0.245432,
+                0.0522089,
+                0.0195305,
+                1.61108e-07,
+                -0.00352112,
+                -0.00450343,
+                -0.00496025,
+                -0.00533386,
+                -0.0056717,
+                -0.00597447,
+                -0.00621571,
+                -0.00634703,
+                -0.00639001,
+                -0.00641349,
+                -0.0064328,
+                -0.00645035,
+                -0.00646681,
+                -0.00648247,
+                -0.00649751,
+                -0.00651199,
+                -0.00652599,
+                -0.00653955,
+                -0.00655269,
+                -0.00656551,
+                -0.00660093,
+                -0.00739623,
+                -0.0311924,
+                -1.62919
+              ],
+              [
+                3.40987,
+                0.259347,
+                0.0617482,
+                0.0254768,
+                1.66679e-08,
+                -0.00734107,
+                -0.01113,
+                -0.0126245,
+                -0.0134692,
+                -0.0141151,
+                -0.014631,
+                -0.0149973,
+                -0.0151841,
+                -0.0152594,
+                -0.0153054,
+                -0.0153426,
+                -0.015376,
+                -0.015407,
+                -0.0154364,
+                -0.0154645,
+                -0.0154916,
+                -0.0155179,
+                -0.0155434,
+                -0.0155682,
+                -0.0155924,
+                -0.0156411,
+                -0.015889,
+                -0.0372564,
+                -1.63834
+              ],
+              [
+                3.41118,
+                0.272682,
+                0.0700586,
+                0.0305002,
+                6.86779e-09,
+                -0.0108143,
+                -0.0183655,
+                -0.0227523,
+                -0.0249215,
+                -0.0261648,
+                -0.0269963,
+                -0.0275269,
+                -0.0277954,
+                -0.0279219,
+                -0.0280039,
+                -0.02807,
+                -0.0281283,
+                -0.0281819,
+                -0.0282324,
+                -0.0282805,
+                -0.0283266,
+                -0.0283712,
+                -0.0284145,
+                -0.0284565,
+                -0.0284975,
+                -0.0285769,
+                -0.0286953,
+                -0.0473536,
+                -1.65153
+              ],
+              [
+                3.41246,
+                0.285194,
+                0.0772172,
+                0.0347018,
+                6.15745e-09,
+                -0.0135807,
+                -0.0242309,
+                -0.0319698,
+                -0.0369558,
+                -0.0397899,
+                -0.0413772,
+                -0.0422611,
+                -0.0426956,
+                -0.0429189,
+                -0.0430691,
+                -0.0431896,
+                -0.0432945,
+                -0.0433898,
+                -0.0434785,
+                -0.0435622,
+                -0.0436421,
+                -0.0437187,
+                -0.0437926,
+                -0.0438641,
+                -0.0439336,
+                -0.0440671,
+                -0.0442037,
+                -0.0602391,
+                -1.66747
+              ],
+              [
+                3.4137,
+                0.296641,
+                0.083376,
+                0.0382217,
+                5.86389e-09,
+                -0.0157603,
+                -0.0287836,
+                -0.039101,
+                -0.0468005,
+                -0.0520775,
+                -0.0553228,
+                -0.057086,
+                -0.0579403,
+                -0.0584022,
+                -0.0587192,
+                -0.0589715,
+                -0.0591881,
+                -0.0593821,
+                -0.0595601,
+                -0.0597261,
+                -0.0598826,
+                -0.0600311,
+                -0.060173,
+                -0.0603091,
+                -0.06044,
+                -0.0606889,
+                -0.0609255,
+                -0.0746774,
+                -1.68497
+              ],
+              [
+                3.4149,
+                0.306868,
+                0.0886846,
+                0.0411863,
+                5.69643e-09,
+                -0.0175029,
+                -0.0323669,
+                -0.0446081,
+                -0.054281,
+                -0.0614938,
+                -0.0664227,
+                -0.0693478,
+                -0.0708782,
+                -0.0717742,
+                -0.072415,
+                -0.0729336,
+                -0.0733821,
+                -0.0737848,
+                -0.0741547,
+                -0.0744996,
+                -0.0748244,
+                -0.0751323,
+                -0.0754259,
+                -0.0757068,
+                -0.0759765,
+                -0.0764865,
+                -0.076964,
+                -0.0890654,
+                -1.70261
+              ],
+              [
+                3.41605,
+                0.315881,
+                0.093274,
+                0.0436996,
+                5.87715e-09,
+                -0.0189202,
+                -0.0352441,
+                -0.0489619,
+                -0.0600876,
+                -0.0686635,
+                -0.0747519,
+                -0.0784982,
+                -0.0805615,
+                -0.0818433,
+                -0.0827944,
+                -0.0835813,
+                -0.0842726,
+                -0.0849014,
+                -0.0854858,
+                -0.0860366,
+                -0.0865607,
+                -0.0870628,
+                -0.0875461,
+                -0.0880131,
+                -0.0884657,
+                -0.089333,
+                -0.0901578,
+                -0.101291,
+                -1.71841
+              ],
+              [
+                3.41711,
+                0.323801,
+                0.0972556,
+                0.0458444,
+                5.99077e-09,
+                -0.0200912,
+                -0.0375967,
+                -0.0524799,
+                -0.0647173,
+                -0.0742961,
+                -0.0811935,
+                -0.0854816,
+                -0.0879049,
+                -0.0894611,
+                -0.0906393,
+                -0.0916256,
+                -0.0924991,
+                -0.0932987,
+                -0.0940462,
+                -0.0947546,
+                -0.0954324,
+                -0.0960852,
+                -0.0967172,
+                -0.0973314,
+                -0.09793,
+                -0.0990875,
+                -0.100202,
+                -0.110605,
+                -1.73094
+              ],
+              [
+                3.41809,
+                0.330784,
+                0.100722,
+                0.0476864,
+                6.50458e-09,
+                -0.0210713,
+                -0.0395498,
+                -0.0553743,
+                -0.0684893,
+                -0.0788377,
+                -0.0863307,
+                -0.0910001,
+                -0.0936816,
+                -0.0954408,
+                -0.0967895,
+                -0.0979264,
+                -0.0989376,
+                -0.0998663,
+                -0.100737,
+                -0.101564,
+                -0.102357,
+                -0.103123,
+                -0.103867,
+                -0.104591,
+                -0.105299,
+                -0.106673,
+                -0.108003,
+                -0.117838,
+                -1.74063
+              ],
+              [
+                3.41976,
+                0.34247,
+                0.106408,
+                0.0506595,
+                5.52887e-09,
+                -0.0226096,
+                -0.0425873,
+                -0.0598325,
+                -0.0742416,
+                -0.0856933,
+                -0.0940024,
+                -0.099172,
+                -0.102202,
+                -0.104244,
+                -0.105834,
+                -0.107185,
+                -0.108393,
+                -0.109505,
+                -0.110551,
+                -0.111546,
+                -0.112503,
+                -0.113429,
+                -0.11433,
+                -0.115209,
+                -0.11607,
+                -0.117748,
+                -0.119379,
+                -0.128836,
+                -1.75463
+              ],
+              [
+                3.42108,
+                0.351765,
+                0.110806,
+                0.0529224,
+                6.12793e-09,
+                -0.023749,
+                -0.0448167,
+                -0.0630743,
+                -0.0783859,
+                -0.0905863,
+                -0.0994236,
+                -0.104905,
+                -0.108161,
+                -0.110391,
+                -0.112144,
+                -0.11364,
+                -0.114981,
+                -0.116218,
+                -0.117383,
+                -0.118493,
+                -0.119561,
+                -0.120595,
+                -0.121602,
+                -0.122586,
+                -0.123551,
+                -0.125432,
+                -0.127265,
+                -0.137082,
+                -1.76429
+              ],
+              [
+                3.42372,
+                0.37008,
+                0.119126,
+                0.0571262,
+                6.53351e-09,
+                -0.0258073,
+                -0.0488064,
+                -0.0688219,
+                -0.085667,
+                -0.0991034,
+                -0.108766,
+                -0.114718,
+                -0.118332,
+                -0.12087,
+                -0.122891,
+                -0.12463,
+                -0.126193,
+                -0.12764,
+                -0.129003,
+                -0.130304,
+                -0.131557,
+                -0.132772,
+                -0.133955,
+                -0.135113,
+                -0.136249,
+                -0.138468,
+                -0.140634,
+                -0.151436,
+                -1.78058
+              ],
+              [
+                3.42572,
+                0.383315,
+                0.124874,
+                0.0599844,
+                7.00822e-09,
+                -0.0271774,
+                -0.0514456,
+                -0.0726002,
+                -0.090423,
+                -0.104627,
+                -0.114773,
+                -0.120996,
+                -0.124826,
+                -0.127554,
+                -0.129744,
+                -0.131635,
+                -0.133339,
+                -0.134918,
+                -0.136407,
+                -0.137829,
+                -0.139199,
+                -0.140528,
+                -0.141823,
+                -0.14309,
+                -0.144334,
+                -0.146766,
+                -0.149142,
+                -0.160577,
+                -1.79094
+              ]
+            ]
+          },
+          "is_inverting": "true",
+          "is_needed": "true",
+          "miller_cap_fall": 1.33556e-06,
+          "miller_cap_rise": 0.000228172,
+          "output_voltage_fall": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.0288938,
+                  0.0555909,
+                  0.0810754,
+                  0.1082049,
+                  0.1531281
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.1017291,
+                  0.127939,
+                  0.1528492,
+                  0.1796114,
+                  0.2239232
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              }
+            ]
+          },
+          "output_voltage_rise": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.3775372,
+                  0.6236986,
+                  0.8472932,
+                  1.0482601,
+                  1.3760222
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.4446831,
+                  0.6908605,
+                  0.9145498,
+                  1.1165565,
+                  1.4440452
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              }
+            ]
+          },
+          "propagated_noise_high": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.07389
+                ],
+                "index_2": [
+                  4.11458
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  2.0681753,
+                  2.1928233,
+                  2.5480895,
+                  3.5225213,
+                  3.747433
+                ],
+                "values": [
+                  1.33711,
+                  1.17938,
+                  1.07422,
+                  1.17938,
+                  1.33711
+                ]
+              },
+              {
+                "index_1": [
+                  1.13603
+                ],
+                "index_2": [
+                  2.40188
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  1.2112117,
+                  1.2921236,
+                  1.5104682,
+                  2.1674746,
+                  2.3605666
+                ],
+                "values": [
+                  1.30655,
+                  1.13048,
+                  1.0131,
+                  1.13048,
+                  1.30655
+                ]
+              },
+              {
+                "index_1": [
+                  1.1906
+                ],
+                "index_2": [
+                  1.62161
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.8209782,
+                  0.8812843,
+                  1.0263647,
+                  1.5256859,
+                  1.7072242
+                ],
+                "values": [
+                  1.28296,
+                  1.09274,
+                  0.96592,
+                  1.09274,
+                  1.28296
+                ]
+              },
+              {
+                "index_1": [
+                  1.07389
+                ],
+                "index_2": [
+                  2.05729
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  1.0337914,
+                  1.0810662,
+                  1.196895,
+                  1.827086,
+                  2.0106502
+                ],
+                "values": [
+                  1.49331,
+                  1.42929,
+                  1.38661,
+                  1.42929,
+                  1.49331
+                ]
+              },
+              {
+                "index_1": [
+                  1.13603
+                ],
+                "index_2": [
+                  1.20094
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.6062687,
+                  0.6379424,
+                  0.7052336,
+                  1.1191786,
+                  1.2903211
+                ],
+                "values": [
+                  1.48209,
+                  1.41135,
+                  1.36419,
+                  1.41135,
+                  1.48209
+                ]
+              },
+              {
+                "index_1": [
+                  1.1906
+                ],
+                "index_2": [
+                  0.810804
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.4116598,
+                  0.4351186,
+                  0.499082,
+                  0.7787053,
+                  0.9461152
+                ],
+                "values": [
+                  1.47226,
+                  1.39562,
+                  1.34452,
+                  1.39562,
+                  1.47226
+                ]
+              },
+              {
+                "index_1": [
+                  1.13603
+                ],
+                "index_2": [
+                  3.60283
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  1.831891,
+                  1.9506046,
+                  2.2790413,
+                  3.2934409,
+                  3.5266129
+                ],
+                "values": [
+                  1.06229,
+                  0.739659,
+                  0.524573,
+                  0.739659,
+                  1.06229
+                ]
+              }
+            ]
+          },
+          "propagated_noise_low": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.10566
+                ],
+                "index_2": [
+                  68.2584
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  35.2304079,
+                  37.0982156,
+                  41.9694128,
+                  44.8738174,
+                  46.2549615
+                ],
+                "values": [
+                  0.386609,
+                  0.618574,
+                  0.773217,
+                  0.618574,
+                  0.386609
+                ]
+              },
+              {
+                "index_1": [
+                  1.14143
+                ],
+                "index_2": [
+                  41.8889
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  21.7713968,
+                  23.084774,
+                  26.461785,
+                  28.4458041,
+                  29.3173033
+                ],
+                "values": [
+                  0.405786,
+                  0.649257,
+                  0.811572,
+                  0.649257,
+                  0.405786
+                ]
+              },
+              {
+                "index_1": [
+                  1.17141
+                ],
+                "index_2": [
+                  29.6195
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  15.492398,
+                  16.5089662,
+                  19.2936099,
+                  20.6144872,
+                  21.2351934
+                ],
+                "values": [
+                  0.428646,
+                  0.685834,
+                  0.857293,
+                  0.685834,
+                  0.428646
+                ]
+              },
+              {
+                "index_1": [
+                  1.10566
+                ],
+                "index_2": [
+                  34.1292
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  18.2411221,
+                  19.2498563,
+                  21.4006062,
+                  22.7364469,
+                  23.3264747
+                ],
+                "values": [
+                  0.138661,
+                  0.221858,
+                  0.277322,
+                  0.221858,
+                  0.138661
+                ]
+              },
+              {
+                "index_1": [
+                  1.14143
+                ],
+                "index_2": [
+                  20.9444
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  11.3341341,
+                  12.026461,
+                  13.4442702,
+                  14.3931169,
+                  14.7716652
+                ],
+                "values": [
+                  0.143375,
+                  0.229401,
+                  0.286751,
+                  0.229401,
+                  0.143375
+                ]
+              },
+              {
+                "index_1": [
+                  1.17141
+                ],
+                "index_2": [
+                  14.8097
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  8.0929764,
+                  8.6324238,
+                  9.7974807,
+                  10.4220119,
+                  10.6961818
+                ],
+                "values": [
+                  0.149946,
+                  0.239913,
+                  0.299891,
+                  0.239913,
+                  0.149946
+                ]
+              },
+              {
+                "index_1": [
+                  1.14143
+                ],
+                "index_2": [
+                  62.8333
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  32.1157934,
+                  33.3758097,
+                  38.5847246,
+                  42.964421,
+                  43.9685644
+                ],
+                "values": [
+                  0.627692,
+                  1.00431,
+                  1.25538,
+                  1.00431,
+                  0.627692
+                ]
+              }
+            ]
+          },
+          "stage_type": "both"
+        },
+        "ccsn_last_stage": {
+          "dc_current ccsn_dc": {
+            "index_1": [
+              -1.6,
+              -0.8,
+              -0.32,
+              -0.16,
+              0,
+              0.08,
+              0.16,
+              0.24,
+              0.32,
+              0.4,
+              0.48,
+              0.56,
+              0.64,
+              0.72,
+              0.8,
+              0.88,
+              0.96,
+              1.04,
+              1.12,
+              1.2,
+              1.28,
+              1.36,
+              1.44,
+              1.52,
+              1.6,
+              1.76,
+              1.92,
+              2.4,
+              3.2
+            ],
+            "index_2": [
+              -1.6,
+              -0.8,
+              -0.32,
+              -0.16,
+              0,
+              0.08,
+              0.16,
+              0.24,
+              0.32,
+              0.4,
+              0.48,
+              0.56,
+              0.64,
+              0.72,
+              0.8,
+              0.88,
+              0.96,
+              1.04,
+              1.12,
+              1.2,
+              1.28,
+              1.36,
+              1.44,
+              1.52,
+              1.6,
+              1.76,
+              1.92,
+              2.4,
+              3.2
+            ],
+            "values": [
+              [
+                4.74924,
+                0.378053,
+                0.355202,
+                0.345261,
+                0.33288,
+                0.325466,
+                0.317126,
+                0.307824,
+                0.297545,
+                0.286278,
+                0.274019,
+                0.260767,
+                0.246521,
+                0.231284,
+                0.215058,
+                0.197849,
+                0.17966,
+                0.1605,
+                0.140377,
+                0.1193,
+                0.0972789,
+                0.0743256,
+                0.0504525,
+                0.0256726,
+                -6.53122e-09,
+                -0.0513605,
+                -0.101502,
+                -0.270139,
+                -2.63865
+              ],
+              [
+                4.60173,
+                0.241163,
+                0.229092,
+                0.224707,
+                0.219874,
+                0.217231,
+                0.21439,
+                0.211296,
+                0.207875,
+                0.204017,
+                0.199555,
+                0.194262,
+                0.187884,
+                0.180224,
+                0.171181,
+                0.160707,
+                0.148775,
+                0.135371,
+                0.120486,
+                0.104114,
+                0.0862538,
+                0.0669061,
+                0.0460756,
+                0.02377,
+                -1.70584e-08,
+                -0.049229,
+                -0.0990406,
+                -0.271735,
+                -2.64308
+              ],
+              [
+                4.50626,
+                0.142323,
+                0.134107,
+                0.131513,
+                0.128774,
+                0.127336,
+                0.125841,
+                0.124279,
+                0.122635,
+                0.120891,
+                0.119021,
+                0.116989,
+                0.114737,
+                0.112179,
+                0.10916,
+                0.105408,
+                0.100524,
+                0.0941539,
+                0.0861149,
+                0.0763263,
+                0.0647447,
+                0.0513407,
+                0.0360922,
+                0.0189826,
+                -1.60172e-09,
+                -0.0416765,
+                -0.0866098,
+                -0.25284,
+                -2.64009
+              ],
+              [
+                4.48206,
+                0.114222,
+                0.102782,
+                0.100675,
+                0.0984782,
+                0.097337,
+                0.0961614,
+                0.0949451,
+                0.0936807,
+                0.0923581,
+                0.0909642,
+                0.0894808,
+                0.0878823,
+                0.0861301,
+                0.0841622,
+                0.0818681,
+                0.079028,
+                0.0752324,
+                0.0700073,
+                0.0630705,
+                0.054304,
+                0.043649,
+                0.0310662,
+                0.0165249,
+                -1.60155e-09,
+                -0.0375929,
+                -0.0796594,
+                -0.241174,
+                -2.63765
+              ],
+              [
+                4.46231,
+                0.101087,
+                0.0733258,
+                0.071666,
+                0.0699556,
+                0.0690768,
+                0.0681789,
+                0.0672589,
+                0.0663128,
+                0.0653357,
+                0.0643213,
+                0.063261,
+                0.0621436,
+                0.0609528,
+                0.0596648,
+                0.0582409,
+                0.0566122,
+                0.0546293,
+                0.0519391,
+                0.0479674,
+                0.0422844,
+                0.0347129,
+                0.0251741,
+                0.0136169,
+                -1.60155e-09,
+                -0.0326417,
+                -0.0711056,
+                -0.226217,
+                -2.63443
+              ],
+              [
+                4.45433,
+                0.101037,
+                0.0596989,
+                0.058249,
+                0.0567642,
+                0.0560054,
+                0.0552334,
+                0.054446,
+                0.0536406,
+                0.0528138,
+                0.0519614,
+                0.0510779,
+                0.0501558,
+                0.049185,
+                0.048151,
+                0.0470315,
+                0.045789,
+                0.0443498,
+                0.0425315,
+                0.0398777,
+                0.0357623,
+                0.0298242,
+                0.0219275,
+                0.0120033,
+                -1.6015e-09,
+                -0.0298435,
+                -0.0662173,
+                -0.217409,
+                -2.63249
+              ],
+              [
+                4.4478,
+                0.104768,
+                0.0470381,
+                0.0457896,
+                0.0445198,
+                0.0438745,
+                0.0432207,
+                0.042557,
+                0.0418817,
+                0.0411926,
+                0.0404869,
+                0.0397613,
+                0.039011,
+                0.03823,
+                0.0374096,
+                0.0365373,
+                0.0355929,
+                0.034541,
+                0.0333019,
+                0.0316435,
+                0.0289865,
+                0.0247011,
+                0.0185056,
+                0.0102935,
+                -1.602e-09,
+                -0.02684,
+                -0.0609288,
+                -0.207674,
+                -2.63032
+              ],
+              [
+                4.44281,
+                0.111992,
+                0.0355288,
+                0.0344691,
+                0.0334046,
+                0.0328667,
+                0.0323241,
+                0.031776,
+                0.0312213,
+                0.0306587,
+                0.0300864,
+                0.0295025,
+                0.0289042,
+                0.028288,
+                0.0276491,
+                0.0269806,
+                0.0262721,
+                0.0255066,
+                0.0246511,
+                0.0236184,
+                0.0221039,
+                0.0194032,
+                0.0149379,
+                0.0084997,
+                -1.61376e-09,
+                -0.0236446,
+                -0.0552557,
+                -0.196994,
+                -2.62792
+              ],
+              [
+                4.4395,
+                0.12256,
+                0.0253946,
+                0.0244705,
+                0.0236024,
+                0.0231665,
+                0.022729,
+                0.0222893,
+                0.0218467,
+                0.0214006,
+                0.0209501,
+                0.0204939,
+                0.0200307,
+                0.0195586,
+                0.0190751,
+                0.0185767,
+                0.0180584,
+                0.0175124,
+                0.0169252,
+                0.0162673,
+                0.0154413,
+                0.0140438,
+                0.0112704,
+                0.00663946,
+                -1.81533e-09,
+                -0.0202765,
+                -0.0492227,
+                -0.185361,
+                -2.62526
+              ],
+              [
+                4.43804,
+                0.136394,
+                0.0170283,
+                0.0159772,
+                0.0152974,
+                0.014959,
+                0.0146211,
+                0.0142835,
+                0.0139458,
+                0.0136078,
+                0.0132689,
+                0.0129287,
+                0.0125865,
+                0.0122414,
+                0.0118922,
+                0.0115375,
+                0.0111751,
+                0.0108017,
+                0.0104122,
+                0.00999709,
+                0.0095315,
+                0.00890547,
+                0.00759174,
+                0.00474305,
+                -5.23043e-09,
+                -0.0167626,
+                -0.0428665,
+                -0.172787,
+                -2.62235
+              ],
+              [
+                4.43856,
+                0.153437,
+                0.0113964,
+                0.00918438,
+                0.00867819,
+                0.00843394,
+                0.00819172,
+                0.00795144,
+                0.00771301,
+                0.00747631,
+                0.00724118,
+                0.00700744,
+                0.00677484,
+                0.00654307,
+                0.00631174,
+                0.00608028,
+                0.00584796,
+                0.0056137,
+                0.00537586,
+                0.00513166,
+                0.00487534,
+                0.00458808,
+                0.00414514,
+                0.00288067,
+                -6.41789e-08,
+                -0.0131409,
+                -0.0362392,
+                -0.159315,
+                -2.61918
+              ],
+              [
+                4.4412,
+                0.17366,
+                0.0105723,
+                0.0043557,
+                0.00394744,
+                0.00379514,
+                0.00364587,
+                0.00349949,
+                0.00335598,
+                0.0032153,
+                0.00307743,
+                0.0029423,
+                0.00280986,
+                0.00268001,
+                0.00255267,
+                0.00242767,
+                0.00230482,
+                0.00218387,
+                0.00206439,
+                0.00194579,
+                0.00182692,
+                0.00170504,
+                0.00156723,
+                0.00125998,
+                -9.90339e-07,
+                -0.00947407,
+                -0.0294222,
+                -0.14506,
+                -2.61575
+              ],
+              [
+                4.44612,
+                0.197037,
+                0.0162672,
+                0.00194852,
+                0.00125324,
+                0.00118262,
+                0.00111655,
+                0.00105308,
+                0.000992125,
+                0.000933617,
+                0.000877494,
+                0.000823695,
+                0.000772152,
+                0.000722793,
+                0.000675541,
+                0.000630312,
+                0.000587011,
+                0.00054553,
+                0.000505743,
+                0.000467487,
+                0.000430531,
+                0.000394457,
+                0.000358005,
+                0.000308742,
+                -1.41535e-05,
+                -0.00590997,
+                -0.022576,
+                -0.13027,
+                -2.61214
+              ],
+              [
+                4.45335,
+                0.223277,
+                0.0278754,
+                0.00280487,
+                0.000267757,
+                0.000222192,
+                0.00019898,
+                0.000177333,
+                0.000156692,
+                0.000136929,
+                0.000117969,
+                9.97571e-05,
+                8.22429e-05,
+                6.53799e-05,
+                4.91241e-05,
+                3.34326e-05,
+                1.82634e-05,
+                3.5744e-06,
+                -1.06778e-05,
+                -2.45401e-05,
+                -3.8068e-05,
+                -5.13407e-05,
+                -6.45358e-05,
+                -7.88943e-05,
+                -0.000145311,
+                -0.00295858,
+                -0.0161337,
+                -0.115456,
+                -2.60864
+              ],
+              [
+                4.46231,
+                0.251379,
+                0.0433177,
+                0.00793406,
+                5.06243e-05,
+                -0.000146085,
+                -0.000191506,
+                -0.000231699,
+                -0.00027091,
+                -0.000309794,
+                -0.000348566,
+                -0.000387317,
+                -0.000426099,
+                -0.000464941,
+                -0.000503861,
+                -0.000542875,
+                -0.000581992,
+                -0.000621222,
+                -0.000660574,
+                -0.000700055,
+                -0.000739677,
+                -0.000779456,
+                -0.000819427,
+                -0.000859794,
+                -0.00091032,
+                -0.00186689,
+                -0.0111863,
+                -0.101753,
+                -2.60637
+              ],
+              [
+                4.47202,
+                0.280352,
+                0.0608004,
+                0.0168023,
+                9.8335e-06,
+                -0.0010141,
+                -0.00121639,
+                -0.00137042,
+                -0.00151615,
+                -0.00165898,
+                -0.00180058,
+                -0.00194167,
+                -0.00208259,
+                -0.00222355,
+                -0.00236467,
+                -0.00250602,
+                -0.00264765,
+                -0.00278959,
+                -0.00293186,
+                -0.00307448,
+                -0.00321747,
+                -0.00336085,
+                -0.00350465,
+                -0.00364894,
+                -0.00379557,
+                -0.0042687,
+                -0.0098442,
+                -0.0914543,
+                -2.6079
+              ],
+              [
+                4.48199,
+                0.30977,
+                0.0791723,
+                0.0272672,
+                1.75994e-06,
+                -0.00382511,
+                -0.00477196,
+                -0.00524657,
+                -0.00564873,
+                -0.00602622,
+                -0.00639183,
+                -0.00675071,
+                -0.00710539,
+                -0.00745731,
+                -0.00780733,
+                -0.00815602,
+                -0.00850375,
+                -0.00885079,
+                -0.00919735,
+                -0.00954357,
+                -0.00988958,
+                -0.0102355,
+                -0.0105814,
+                -0.0109275,
+                -0.0112742,
+                -0.0120029,
+                -0.014953,
+                -0.0875172,
+                -2.61623
+              ],
+              [
+                4.49214,
+                0.339433,
+                0.097586,
+                0.0378302,
+                2.24042e-07,
+                -0.00870242,
+                -0.0122277,
+                -0.0135509,
+                -0.014426,
+                -0.0151738,
+                -0.015866,
+                -0.0165277,
+                -0.0171705,
+                -0.0178006,
+                -0.0184216,
+                -0.019036,
+                -0.0196452,
+                -0.0202504,
+                -0.0208524,
+                -0.0214518,
+                -0.0220491,
+                -0.0226446,
+                -0.0232388,
+                -0.0238319,
+                -0.0244245,
+                -0.0256164,
+                -0.0274519,
+                -0.0906818,
+                -2.63138
+              ],
+              [
+                4.50241,
+                0.369194,
+                0.115461,
+                0.0479892,
+                1.77112e-08,
+                -0.0142468,
+                -0.0222063,
+                -0.025641,
+                -0.0273921,
+                -0.0286783,
+                -0.0297863,
+                -0.0308046,
+                -0.03177,
+                -0.0327009,
+                -0.0336077,
+                -0.0344968,
+                -0.0353725,
+                -0.0362377,
+                -0.0370946,
+                -0.0379446,
+                -0.0387889,
+                -0.0396286,
+                -0.0404644,
+                -0.0412972,
+                -0.0421276,
+                -0.0437859,
+                -0.0455773,
+                -0.0994287,
+                -2.65113
+              ],
+              [
+                4.5128,
+                0.39892,
+                0.132491,
+                0.05761,
+                2.41842e-09,
+                -0.0197298,
+                -0.0327502,
+                -0.0398264,
+                -0.0432283,
+                -0.0453307,
+                -0.0469756,
+                -0.0484104,
+                -0.0497286,
+                -0.0509738,
+                -0.0521694,
+                -0.0533295,
+                -0.054463,
+                -0.0555759,
+                -0.0566724,
+                -0.0577557,
+                -0.058828,
+                -0.0598914,
+                -0.0609472,
+                -0.0619968,
+                -0.0630416,
+                -0.0651214,
+                -0.0672237,
+                -0.112343,
+                -2.67379
+              ],
+              [
+                4.5233,
+                0.428479,
+                0.148562,
+                0.0666621,
+                1.64566e-09,
+                -0.0249479,
+                -0.0430206,
+                -0.0545931,
+                -0.0607397,
+                -0.0641192,
+                -0.066486,
+                -0.0684233,
+                -0.070137,
+                -0.0717167,
+                -0.0732084,
+                -0.0746383,
+                -0.0760227,
+                -0.0773724,
+                -0.0786947,
+                -0.0799951,
+                -0.0812774,
+                -0.082545,
+                -0.0838001,
+                -0.0850449,
+                -0.0862813,
+                -0.0887362,
+                -0.0911849,
+                -0.128594,
+                -2.69847
+              ],
+              [
+                4.53389,
+                0.457743,
+                0.163659,
+                0.0751483,
+                1.62095e-09,
+                -0.0298546,
+                -0.0527862,
+                -0.0690475,
+                -0.0789176,
+                -0.0842522,
+                -0.0876179,
+                -0.0901807,
+                -0.0923507,
+                -0.0942961,
+                -0.0960986,
+                -0.0978031,
+                -0.0994368,
+                -0.101017,
+                -0.102556,
+                -0.104062,
+                -0.105541,
+                -0.106997,
+                -0.108435,
+                -0.109858,
+                -0.111268,
+                -0.11406,
+                -0.11683,
+                -0.147659,
+                -2.7247
+              ],
+              [
+                4.54456,
+                0.486576,
+                0.1778,
+                0.0830841,
+                1.63843e-09,
+                -0.0344461,
+                -0.0619895,
+                -0.0828384,
+                -0.0969596,
+                -0.10506,
+                -0.10982,
+                -0.113179,
+                -0.115889,
+                -0.118243,
+                -0.120379,
+                -0.122369,
+                -0.124256,
+                -0.126066,
+                -0.127816,
+                -0.12952,
+                -0.131186,
+                -0.132821,
+                -0.134431,
+                -0.136018,
+                -0.137588,
+                -0.140687,
+                -0.143751,
+                -0.169149,
+                -2.75214
+              ],
+              [
+                4.55531,
+                0.514833,
+                0.191023,
+                0.0904912,
+                1.67068e-09,
+                -0.0387313,
+                -0.0706244,
+                -0.0958638,
+                -0.114352,
+                -0.125951,
+                -0.132627,
+                -0.137016,
+                -0.140375,
+                -0.143195,
+                -0.145696,
+                -0.147989,
+                -0.150138,
+                -0.15218,
+                -0.154141,
+                -0.15604,
+                -0.157887,
+                -0.159694,
+                -0.161466,
+                -0.163209,
+                -0.164928,
+                -0.168312,
+                -0.171646,
+                -0.192748,
+                -2.78056
+              ],
+              [
+                4.56611,
+                0.542354,
+                0.203367,
+                0.0973933,
+                1.72235e-09,
+                -0.042723,
+                -0.0787035,
+                -0.108107,
+                -0.130854,
+                -0.146418,
+                -0.155624,
+                -0.161353,
+                -0.165504,
+                -0.168865,
+                -0.171771,
+                -0.17439,
+                -0.176813,
+                -0.179094,
+                -0.181269,
+                -0.183362,
+                -0.185388,
+                -0.187362,
+                -0.189291,
+                -0.191184,
+                -0.193045,
+                -0.196697,
+                -0.200283,
+                -0.218183,
+                -2.80975
+              ],
+              [
+                4.58781,
+                0.594472,
+                0.225588,
+                0.109783,
+                1.91528e-09,
+                -0.0498845,
+                -0.0932781,
+                -0.130312,
+                -0.16097,
+                -0.184722,
+                -0.200696,
+                -0.210367,
+                -0.216716,
+                -0.221459,
+                -0.225342,
+                -0.228709,
+                -0.231738,
+                -0.234531,
+                -0.237152,
+                -0.239641,
+                -0.242028,
+                -0.244331,
+                -0.246568,
+                -0.248748,
+                -0.250881,
+                -0.255037,
+                -0.259087,
+                -0.273619,
+                -2.86989
+              ],
+              [
+                4.60945,
+                0.64139,
+                0.244799,
+                0.120453,
+                2.29372e-09,
+                -0.0560488,
+                -0.105905,
+                -0.149669,
+                -0.187357,
+                -0.218657,
+                -0.242465,
+                -0.258074,
+                -0.267829,
+                -0.274558,
+                -0.279734,
+                -0.284029,
+                -0.287774,
+                -0.291149,
+                -0.294259,
+                -0.297171,
+                -0.299933,
+                -0.302574,
+                -0.305119,
+                -0.307583,
+                -0.309982,
+                -0.31462,
+                -0.319103,
+                -0.333375,
+                -2.93158
+              ],
+              [
+                4.66858,
+                0.742739,
+                0.287453,
+                0.143987,
+                5.56154e-09,
+                -0.0696525,
+                -0.134075,
+                -0.193302,
+                -0.247357,
+                -0.296197,
+                -0.339576,
+                -0.376646,
+                -0.405566,
+                -0.425416,
+                -0.438544,
+                -0.447851,
+                -0.455034,
+                -0.460947,
+                -0.466042,
+                -0.470573,
+                -0.474698,
+                -0.478517,
+                -0.482098,
+                -0.48549,
+                -0.488729,
+                -0.494845,
+                -0.500602,
+                -0.51773,
+                -3.1196
+              ],
+              [
+                4.71432,
+                0.820176,
+                0.323496,
+                0.163677,
+                2.4958e-08,
+                -0.0811577,
+                -0.158468,
+                -0.231926,
+                -0.301528,
+                -0.367267,
+                -0.429123,
+                -0.487041,
+                -0.540864,
+                -0.590173,
+                -0.633926,
+                -0.670151,
+                -0.697285,
+                -0.716499,
+                -0.730443,
+                -0.741168,
+                -0.749878,
+                -0.757258,
+                -0.763712,
+                -0.769493,
+                -0.774765,
+                -0.784203,
+                -0.7926,
+                -0.815251,
+                -3.42206
+              ]
+            ]
+          },
+          "is_inverting": "true",
+          "is_needed": "true",
+          "miller_cap_fall": 0.00083195,
+          "miller_cap_rise": 0.00060997,
+          "output_voltage_fall": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.0036196
+                ],
+                "index_3": [
+                  0.0188886,
+                  0.0275666,
+                  0.0364767,
+                  0.0462764,
+                  0.0599664
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              },
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.0108588
+                ],
+                "index_3": [
+                  0.0251008,
+                  0.046266,
+                  0.0685657,
+                  0.0924241,
+                  0.1251903
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.0036196
+                ],
+                "index_3": [
+                  0.0874046,
+                  0.0991117,
+                  0.1082227,
+                  0.1179826,
+                  0.131726
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.0108588
+                ],
+                "index_3": [
+                  0.0968107,
+                  0.1183402,
+                  0.1405974,
+                  0.1641895,
+                  0.1970766
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              }
+            ]
+          },
+          "output_voltage_rise": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.00131167
+                ],
+                "index_3": [
+                  0.0299261,
+                  0.0428596,
+                  0.0563337,
+                  0.0713974,
+                  0.0958517
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              },
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.003935
+                ],
+                "index_3": [
+                  0.0369173,
+                  0.0623484,
+                  0.0896477,
+                  0.1203759,
+                  0.1664484
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.00131167
+                ],
+                "index_3": [
+                  0.1015425,
+                  0.1143335,
+                  0.1279034,
+                  0.1433405,
+                  0.1676422
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.003935
+                ],
+                "index_3": [
+                  0.1089449,
+                  0.1344913,
+                  0.1618047,
+                  0.19188,
+                  0.2380487
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              }
+            ]
+          },
+          "propagated_noise_high": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.1041
+                ],
+                "index_2": [
+                  1.3941
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.7015108,
+                  0.7565083,
+                  0.9661298,
+                  1.1641274,
+                  1.237881
+                ],
+                "values": [
+                  1.15822,
+                  0.893155,
+                  0.716444,
+                  0.893155,
+                  1.15822
+                ]
+              },
+              {
+                "index_1": [
+                  1.18038
+                ],
+                "index_2": [
+                  0.806698
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.4086029,
+                  0.4460751,
+                  0.5879434,
+                  0.7099608,
+                  0.7608041
+                ],
+                "values": [
+                  1.14651,
+                  0.87442,
+                  0.693025,
+                  0.87442,
+                  1.14651
+                ]
+              },
+              {
+                "index_1": [
+                  1.2488
+                ],
+                "index_2": [
+                  0.541089
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.2757807,
+                  0.304107,
+                  0.4201288,
+                  0.4973579,
+                  0.534981
+                ],
+                "values": [
+                  1.13865,
+                  0.861846,
+                  0.677307,
+                  0.861846,
+                  1.13865
+                ]
+              },
+              {
+                "index_1": [
+                  1.1041
+                ],
+                "index_2": [
+                  0.697052
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.3595157,
+                  0.392377,
+                  0.5223025,
+                  0.5963479,
+                  0.6374801
+                ],
+                "values": [
+                  1.3726,
+                  1.23616,
+                  1.14519,
+                  1.23616,
+                  1.3726
+                ]
+              },
+              {
+                "index_1": [
+                  1.2488
+                ],
+                "index_2": [
+                  0.270545
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.1433916,
+                  0.1606922,
+                  0.2180435,
+                  0.2574121,
+                  0.2805347
+                ],
+                "values": [
+                  1.36789,
+                  1.22862,
+                  1.13577,
+                  1.22862,
+                  1.36789
+                ]
+              },
+              {
+                "index_1": [
+                  1.18038
+                ],
+                "index_2": [
+                  0.806698
+                ],
+                "index_3": [
+                  0.0108588
+                ],
+                "index_4": [
+                  0.4118444,
+                  0.4510615,
+                  0.5869026,
+                  0.726376,
+                  0.7915965
+                ],
+                "values": [
+                  1.40453,
+                  1.28725,
+                  1.20907,
+                  1.28725,
+                  1.40453
+                ]
+              },
+              {
+                "index_1": [
+                  1.2488
+                ],
+                "index_2": [
+                  0.541089
+                ],
+                "index_3": [
+                  0.0108588
+                ],
+                "index_4": [
+                  0.27817,
+                  0.3088227,
+                  0.4224133,
+                  0.5083041,
+                  0.5594671
+                ],
+                "values": [
+                  1.40136,
+                  1.28218,
+                  1.20272,
+                  1.28218,
+                  1.40136
+                ]
+              }
+            ]
+          },
+          "propagated_noise_low": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.18421
+                ],
+                "index_2": [
+                  2.57649
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  1.2980511,
+                  1.3902632,
+                  1.7139063,
+                  1.8850914,
+                  1.9571878
+                ],
+                "values": [
+                  0.493614,
+                  0.789783,
+                  0.987229,
+                  0.789783,
+                  0.493614
+                ]
+              },
+              {
+                "index_1": [
+                  1.2548
+                ],
+                "index_2": [
+                  1.51551
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  0.7688288,
+                  0.8329439,
+                  1.0255142,
+                  1.1556873,
+                  1.2031148
+                ],
+                "values": [
+                  0.513328,
+                  0.821325,
+                  1.02666,
+                  0.821325,
+                  0.513328
+                ]
+              },
+              {
+                "index_1": [
+                  1.31575
+                ],
+                "index_2": [
+                  1.03273
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  0.5268636,
+                  0.5757628,
+                  0.7140312,
+                  0.8148045,
+                  0.8488782
+                ],
+                "values": [
+                  0.527526,
+                  0.844041,
+                  1.05505,
+                  0.844041,
+                  0.527526
+                ]
+              },
+              {
+                "index_1": [
+                  1.18421
+                ],
+                "index_2": [
+                  1.28824
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  0.6651608,
+                  0.7187977,
+                  0.8801684,
+                  0.9602191,
+                  0.9952697
+                ],
+                "values": [
+                  0.266452,
+                  0.426324,
+                  0.532905,
+                  0.426324,
+                  0.266452
+                ]
+              },
+              {
+                "index_1": [
+                  1.31575
+                ],
+                "index_2": [
+                  0.516364
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  0.2740289,
+                  0.3038656,
+                  0.384301,
+                  0.4152739,
+                  0.4322568
+                ],
+                "values": [
+                  0.280207,
+                  0.448332,
+                  0.560414,
+                  0.448332,
+                  0.280207
+                ]
+              },
+              {
+                "index_1": [
+                  1.2548
+                ],
+                "index_2": [
+                  1.51551
+                ],
+                "index_3": [
+                  0.003935
+                ],
+                "index_4": [
+                  0.7727273,
+                  0.8401517,
+                  1.0554991,
+                  1.1717085,
+                  1.2196482
+                ],
+                "values": [
+                  0.278676,
+                  0.445882,
+                  0.557353,
+                  0.445882,
+                  0.278676
+                ]
+              },
+              {
+                "index_1": [
+                  1.31575
+                ],
+                "index_2": [
+                  1.03273
+                ],
+                "index_3": [
+                  0.003935
+                ],
+                "index_4": [
+                  0.5299942,
+                  0.5812526,
+                  0.7233189,
+                  0.8246491,
+                  0.8596153
+                ],
+                "values": [
+                  0.282969,
+                  0.452751,
+                  0.565939,
+                  0.452751,
+                  0.282969
+                ]
+              }
+            ]
+          },
+          "stage_type": "both"
+        },
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00144888,
+            0.00419848,
+            0.0121662,
+            0.0352545,
+            0.102159,
+            0.29603
+          ],
+          "values": [
+            [
+              0.9275904,
+              0.9488356,
+              0.9928768,
+              1.0772541,
+              1.2391906,
+              1.5820978,
+              2.446038
+            ],
+            [
+              0.93286,
+              0.9544066,
+              0.99766,
+              1.0829108,
+              1.2450325,
+              1.5882843,
+              2.451815
+            ],
+            [
+              0.9587815,
+              0.9799117,
+              1.0242487,
+              1.1086368,
+              1.2703175,
+              1.6141822,
+              2.4770834
+            ],
+            [
+              1.0373135,
+              1.0582986,
+              1.1021283,
+              1.1871186,
+              1.3488045,
+              1.6921252,
+              2.5556492
+            ],
+            [
+              1.2531723,
+              1.2743918,
+              1.3181899,
+              1.4018856,
+              1.5649188,
+              1.9076514,
+              2.7717932
+            ],
+            [
+              1.8010735,
+              1.8224172,
+              1.8662751,
+              1.9507147,
+              2.1127387,
+              2.4560347,
+              3.319405
+            ],
+            [
+              3.1702547,
+              3.1936305,
+              3.2417802,
+              3.3308278,
+              3.5039736,
+              3.856587,
+              4.7246469
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00144888,
+            0.00419848,
+            0.0121662,
+            0.0352545,
+            0.102159,
+            0.29603
+          ],
+          "values": [
+            [
+              0.1533404,
+              0.1689782,
+              0.2088841,
+              0.3143979,
+              0.6054844,
+              1.4327106,
+              3.8179961
+            ],
+            [
+              0.1625491,
+              0.1780825,
+              0.2179528,
+              0.3234135,
+              0.6144021,
+              1.4419064,
+              3.8258956
+            ],
+            [
+              0.1866013,
+              0.2021559,
+              0.2418819,
+              0.3471692,
+              0.6381343,
+              1.4653038,
+              3.8507864
+            ],
+            [
+              0.2533256,
+              0.2687758,
+              0.3079943,
+              0.4127972,
+              0.703517,
+              1.5311082,
+              3.916107
+            ],
+            [
+              0.4226269,
+              0.4382997,
+              0.4776717,
+              0.5812944,
+              0.8720795,
+              1.6989915,
+              4.0890988
+            ],
+            [
+              0.7864328,
+              0.8042927,
+              0.8457721,
+              0.950047,
+              1.2400262,
+              2.0685695,
+              4.4557651
+            ],
+            [
+              1.5307015,
+              1.5541246,
+              1.6045737,
+              1.7137879,
+              2.0024395,
+              2.8332205,
+              5.2193432
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00144888,
+            0.00419848,
+            0.0121662,
+            0.0352545,
+            0.102159,
+            0.29603
+          ],
+          "values": [
+            [
+              0.0826217,
+              0.0933246,
+              0.1186765,
+              0.1771965,
+              0.3170887,
+              0.6706747,
+              1.7504412
+            ],
+            [
+              0.0827603,
+              0.0930621,
+              0.1211846,
+              0.1771412,
+              0.3170542,
+              0.6700599,
+              1.7508603
+            ],
+            [
+              0.0830835,
+              0.0950487,
+              0.11901,
+              0.1776874,
+              0.3175647,
+              0.6725641,
+              1.7506859
+            ],
+            [
+              0.0833442,
+              0.093697,
+              0.1200249,
+              0.1772614,
+              0.3173619,
+              0.6696353,
+              1.7486438
+            ],
+            [
+              0.0829525,
+              0.0934441,
+              0.1187899,
+              0.1786112,
+              0.3171319,
+              0.6695513,
+              1.7437259
+            ],
+            [
+              0.082698,
+              0.0942465,
+              0.1202301,
+              0.1774884,
+              0.3176986,
+              0.6696442,
+              1.7512513
+            ],
+            [
+              0.093921,
+              0.105159,
+              0.1311814,
+              0.1953383,
+              0.3293706,
+              0.6848042,
+              1.7540367
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00144888,
+            0.00419848,
+            0.0121662,
+            0.0352545,
+            0.102159,
+            0.29603
+          ],
+          "values": [
+            [
+              0.043408,
+              0.0594176,
+              0.1054266,
+              0.2391106,
+              0.625614,
+              1.7477314,
+              4.9996718
+            ],
+            [
+              0.043176,
+              0.0592017,
+              0.1054263,
+              0.239246,
+              0.62523,
+              1.7486625,
+              4.9912989
+            ],
+            [
+              0.0431049,
+              0.0590486,
+              0.1051578,
+              0.238971,
+              0.62549,
+              1.7476067,
+              4.991251
+            ],
+            [
+              0.0425045,
+              0.0585871,
+              0.1042161,
+              0.2383633,
+              0.6250546,
+              1.7484477,
+              4.9901536
+            ],
+            [
+              0.0449939,
+              0.0604308,
+              0.104358,
+              0.2368401,
+              0.6248599,
+              1.760852,
+              4.9917452
+            ],
+            [
+              0.0531532,
+              0.0683128,
+              0.110261,
+              0.2384938,
+              0.6205617,
+              1.745466,
+              5.0125584
+            ],
+            [
+              0.0767741,
+              0.0916396,
+              0.1313657,
+              0.2466143,
+              0.6287609,
+              1.7543864,
+              4.98911
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "ccsn_first_stage": {
+          "dc_current ccsn_dc": {
+            "index_1": [
+              -1.6,
+              -0.8,
+              -0.32,
+              -0.16,
+              0,
+              0.08,
+              0.16,
+              0.24,
+              0.32,
+              0.4,
+              0.48,
+              0.56,
+              0.64,
+              0.72,
+              0.8,
+              0.88,
+              0.96,
+              1.04,
+              1.12,
+              1.2,
+              1.28,
+              1.36,
+              1.44,
+              1.52,
+              1.6,
+              1.76,
+              1.92,
+              2.4,
+              3.2
+            ],
+            "index_2": [
+              -1.6,
+              -0.8,
+              -0.32,
+              -0.16,
+              0,
+              0.08,
+              0.16,
+              0.24,
+              0.32,
+              0.4,
+              0.48,
+              0.56,
+              0.64,
+              0.72,
+              0.8,
+              0.88,
+              0.96,
+              1.04,
+              1.12,
+              1.2,
+              1.28,
+              1.36,
+              1.44,
+              1.52,
+              1.6,
+              1.76,
+              1.92,
+              2.4,
+              3.2
+            ],
+            "values": [
+              [
+                3.40406,
+                0.0757441,
+                0.0157503,
+                0.0155747,
+                0.0153923,
+                0.0152981,
+                0.0152016,
+                0.0151027,
+                0.0150009,
+                0.0148958,
+                0.0147869,
+                0.0146735,
+                0.0145545,
+                0.0144286,
+                0.0142936,
+                0.0141461,
+                0.013979,
+                0.0137725,
+                0.0134431,
+                0.0127591,
+                0.0115124,
+                0.00962467,
+                0.00707229,
+                0.00385776,
+                -1.08906e-08,
+                -0.00944869,
+                -0.0208341,
+                -0.0649757,
+                -1.62691
+              ],
+              [
+                3.40369,
+                0.0754068,
+                0.0154315,
+                0.0152623,
+                0.0150864,
+                0.0149957,
+                0.0149027,
+                0.0148072,
+                0.0147091,
+                0.0146077,
+                0.0145026,
+                0.0143932,
+                0.0142784,
+                0.0141569,
+                0.0140266,
+                0.0138842,
+                0.0137229,
+                0.0135234,
+                0.013204,
+                0.0125366,
+                0.0113164,
+                0.00946554,
+                0.0069595,
+                0.00379894,
+                -1.13546e-08,
+                -0.00933065,
+                -0.0206255,
+                -0.0649437,
+                -1.62696
+              ],
+              [
+                3.40355,
+                0.0748376,
+                0.0144691,
+                0.014318,
+                0.0141608,
+                0.0140796,
+                0.0139964,
+                0.013911,
+                0.013823,
+                0.0137322,
+                0.013638,
+                0.0135399,
+                0.0134369,
+                0.013328,
+                0.0132111,
+                0.0130834,
+                0.0129387,
+                0.0127594,
+                0.0124686,
+                0.0118494,
+                0.0107066,
+                0.00896489,
+                0.00659886,
+                0.00360656,
+                -1.11032e-08,
+                -0.00890136,
+                -0.0197593,
+                -0.0631128,
+                -1.62672
+              ],
+              [
+                3.40486,
+                0.0784982,
+                0.0137747,
+                0.0136366,
+                0.0134928,
+                0.0134185,
+                0.0133423,
+                0.013264,
+                0.0131834,
+                0.0131001,
+                0.0130137,
+                0.0129237,
+                0.0128292,
+                0.0127291,
+                0.0126219,
+                0.0125046,
+                0.0123717,
+                0.0122068,
+                0.0119368,
+                0.011353,
+                0.0102676,
+                0.00860639,
+                0.0063424,
+                0.00347084,
+                -1.05854e-08,
+                -0.00860849,
+                -0.0191793,
+                -0.0618879,
+                -1.62655
+              ],
+              [
+                3.4067,
+                0.0936414,
+                0.0126464,
+                0.0125294,
+                0.0124074,
+                0.0123441,
+                0.0122792,
+                0.0122125,
+                0.0121438,
+                0.0120727,
+                0.0119989,
+                0.011922,
+                0.0118412,
+                0.0117556,
+                0.0116638,
+                0.0115634,
+                0.0114495,
+                0.0113078,
+                0.0110717,
+                0.0105474,
+                0.0095588,
+                0.00803219,
+                0.00593581,
+                0.00325817,
+                -1.03716e-08,
+                -0.00817073,
+                -0.0183357,
+                -0.0601818,
+                -1.62632
+              ],
+              [
+                3.40759,
+                0.10555,
+                0.0117937,
+                0.0116926,
+                0.0115869,
+                0.011532,
+                0.0114757,
+                0.0114178,
+                0.011358,
+                0.0112961,
+                0.0112318,
+                0.0111647,
+                0.0110942,
+                0.0110194,
+                0.0109392,
+                0.0108514,
+                0.0107518,
+                0.0106274,
+                0.0104172,
+                0.0099398,
+                0.00902774,
+                0.00760623,
+                0.00563788,
+                0.00310444,
+                -1.09942e-08,
+                -0.00787075,
+                -0.0177743,
+                -0.0590987,
+                -1.62617
+              ],
+              [
+                3.40826,
+                0.119425,
+                0.0106047,
+                0.010525,
+                0.0104422,
+                0.010399,
+                0.0103546,
+                0.0103088,
+                0.0102615,
+                0.0102124,
+                0.0101614,
+                0.0101079,
+                0.0100517,
+                0.00999197,
+                0.00992778,
+                0.00985742,
+                0.00977743,
+                0.00967713,
+                0.00950362,
+                0.00909463,
+                0.0082951,
+                0.00702544,
+                0.00523721,
+                0.00290063,
+                1.15562e-08,
+                -0.00749392,
+                -0.0170879,
+                -0.0578286,
+                -1.62601
+              ],
+              [
+                3.40847,
+                0.13459,
+                0.0088507,
+                0.00879458,
+                0.00874499,
+                0.00871894,
+                0.00869196,
+                0.00866398,
+                0.00863487,
+                0.00860452,
+                0.00857274,
+                0.00853931,
+                0.00850393,
+                0.00846616,
+                0.00842533,
+                0.00838034,
+                0.0083289,
+                0.0082637,
+                0.00814567,
+                0.00784651,
+                0.00722834,
+                0.00619603,
+                0.00467703,
+                0.00262149,
+                1.4604e-10,
+                -0.00701432,
+                -0.0162432,
+                -0.0563407,
+                -1.62581
+              ],
+              [
+                3.40796,
+                0.150463,
+                0.00628638,
+                0.00618895,
+                0.00617455,
+                0.00616698,
+                0.00615909,
+                0.00615085,
+                0.00614224,
+                0.00613321,
+                0.00612369,
+                0.00611362,
+                0.00610289,
+                0.00609136,
+                0.00607881,
+                0.00606488,
+                0.00604881,
+                0.00602809,
+                0.00598688,
+                0.00586371,
+                0.00555991,
+                0.00493739,
+                0.0038551,
+                0.00222394,
+                -5.89989e-08,
+                -0.00639519,
+                -0.0151966,
+                -0.0545992,
+                -1.62559
+              ],
+              [
+                3.40761,
+                0.167581,
+                0.00390785,
+                0.00340569,
+                0.0033991,
+                0.00339638,
+                0.00339356,
+                0.00339064,
+                0.0033876,
+                0.00338444,
+                0.00338114,
+                0.00337769,
+                0.00337406,
+                0.00337021,
+                0.00336611,
+                0.00336165,
+                0.00335664,
+                0.00335031,
+                0.00333644,
+                0.00329157,
+                0.00319668,
+                0.00302427,
+                0.00260931,
+                0.00164116,
+                8.3357e-08,
+                -0.00558553,
+                -0.0138924,
+                -0.0525626,
+                -1.62534
+              ],
+              [
+                3.40818,
+                0.18657,
+                0.00358081,
+                0.00138713,
+                0.00136814,
+                0.00136714,
+                0.00136609,
+                0.00136499,
+                0.00136383,
+                0.00136261,
+                0.00136132,
+                0.00135996,
+                0.00135853,
+                0.001357,
+                0.00135537,
+                0.0013536,
+                0.00135162,
+                0.00134907,
+                0.00134173,
+                0.00131357,
+                0.00126041,
+                0.00119027,
+                0.001099,
+                0.000854252,
+                -1.09852e-07,
+                -0.00452225,
+                -0.0122633,
+                -0.050184,
+                -1.62505
+              ],
+              [
+                3.40991,
+                0.207475,
+                0.00750686,
+                0.000493831,
+                0.000359632,
+                0.000359157,
+                0.00035887,
+                0.000358569,
+                0.000358248,
+                0.000357904,
+                0.000357535,
+                0.000357139,
+                0.000356714,
+                0.000356255,
+                0.000355759,
+                0.000355215,
+                0.000354601,
+                0.000353728,
+                0.000349345,
+                0.00033437,
+                0.00031247,
+                0.000288652,
+                0.000263798,
+                0.000229426,
+                -1.59929e-06,
+                -0.00316612,
+                -0.0102463,
+                -0.0474159,
+                -1.62474
+              ],
+              [
+                3.4125,
+                0.229788,
+                0.0164432,
+                0.000813004,
+                7.23403e-05,
+                6.82853e-05,
+                6.7288e-05,
+                6.63668e-05,
+                6.54488e-05,
+                6.45221e-05,
+                6.35831e-05,
+                6.26288e-05,
+                6.16583e-05,
+                6.06709e-05,
+                5.96638e-05,
+                5.86335e-05,
+                5.75696e-05,
+                5.62336e-05,
+                5.28078e-05,
+                4.72542e-05,
+                4.12616e-05,
+                3.53413e-05,
+                2.94755e-05,
+                2.27948e-05,
+                -2.19807e-05,
+                -0.0016999,
+                -0.00788785,
+                -0.0442433,
+                -1.62443
+              ],
+              [
+                3.41544,
+                0.252828,
+                0.0291516,
+                0.00317795,
+                1.46883e-05,
+                -2.58906e-05,
+                -3.53489e-05,
+                -4.39335e-05,
+                -5.24018e-05,
+                -6.08732e-05,
+                -6.93842e-05,
+                -7.79497e-05,
+                -8.65767e-05,
+                -9.5269e-05,
+                -0.000104028,
+                -0.000112855,
+                -0.000121771,
+                -0.000131062,
+                -0.000140927,
+                -0.000150999,
+                -0.000161115,
+                -0.00017126,
+                -0.000181448,
+                -0.000191829,
+                -0.00020961,
+                -0.000810579,
+                -0.00563912,
+                -0.0408928,
+                -1.62443
+              ],
+              [
+                3.41853,
+                0.27624,
+                0.0442302,
+                0.00915572,
+                2.96006e-06,
+                -0.000263537,
+                -0.000320785,
+                -0.000370548,
+                -0.000419076,
+                -0.000467313,
+                -0.000515555,
+                -0.000563925,
+                -0.000612482,
+                -0.000661256,
+                -0.000710264,
+                -0.000759517,
+                -0.000809058,
+                -0.000858961,
+                -0.00090915,
+                -0.000959587,
+                -0.00101026,
+                -0.00106117,
+                -0.00111234,
+                -0.00116379,
+                -0.00121686,
+                -0.00145081,
+                -0.00459974,
+                -0.0384086,
+                -1.62599
+              ],
+              [
+                3.42175,
+                0.29983,
+                0.0605234,
+                0.0181531,
+                4.9406e-07,
+                -0.00135022,
+                -0.00161775,
+                -0.00181108,
+                -0.00199178,
+                -0.00216794,
+                -0.00234201,
+                -0.00251504,
+                -0.00268755,
+                -0.00285984,
+                -0.00303207,
+                -0.00320436,
+                -0.00337681,
+                -0.00354944,
+                -0.00372228,
+                -0.00389536,
+                -0.00406869,
+                -0.00424231,
+                -0.00441624,
+                -0.00459054,
+                -0.00476543,
+                -0.00514171,
+                -0.00692837,
+                -0.0391564,
+                -1.63185
+              ],
+              [
+                3.42507,
+                0.323443,
+                0.0769947,
+                0.0279801,
+                5.54382e-08,
+                -0.00460934,
+                -0.00586394,
+                -0.00643887,
+                -0.00690882,
+                -0.00734402,
+                -0.00776255,
+                -0.00817149,
+                -0.00857431,
+                -0.00897296,
+                -0.00936859,
+                -0.00976198,
+                -0.0101536,
+                -0.0105439,
+                -0.010933,
+                -0.0113212,
+                -0.0117087,
+                -0.0120956,
+                -0.012482,
+                -0.0128682,
+                -0.0132543,
+                -0.014032,
+                -0.0152562,
+                -0.0457111,
+                -1.64451
+              ],
+              [
+                3.4285,
+                0.346929,
+                0.0928064,
+                0.0373686,
+                8.23031e-09,
+                -0.00959314,
+                -0.0138987,
+                -0.0155103,
+                -0.0165042,
+                -0.0173296,
+                -0.0180835,
+                -0.0187989,
+                -0.0194905,
+                -0.0201661,
+                -0.0208301,
+                -0.0214855,
+                -0.0221342,
+                -0.0227776,
+                -0.0234166,
+                -0.0240519,
+                -0.0246841,
+                -0.0253138,
+                -0.0259413,
+                -0.026567,
+                -0.0271914,
+                -0.0284392,
+                -0.029785,
+                -0.0580477,
+                -1.66323
+              ],
+              [
+                3.43203,
+                0.370134,
+                0.107506,
+                0.045997,
+                5.23876e-09,
+                -0.01481,
+                -0.0237604,
+                -0.0279119,
+                -0.0299246,
+                -0.0313276,
+                -0.0325075,
+                -0.0335785,
+                -0.0345865,
+                -0.0355537,
+                -0.0364926,
+                -0.0374108,
+                -0.0383132,
+                -0.0392032,
+                -0.0400832,
+                -0.0409549,
+                -0.0418198,
+                -0.0426789,
+                -0.0435331,
+                -0.0443833,
+                -0.0452303,
+                -0.0469181,
+                -0.0486237,
+                -0.0744486,
+                -1.68575
+              ],
+              [
+                3.43567,
+                0.392891,
+                0.12098,
+                0.0538403,
+                5.09483e-09,
+                -0.0196756,
+                -0.0335139,
+                -0.0417024,
+                -0.0457299,
+                -0.0480641,
+                -0.0498149,
+                -0.05131,
+                -0.0526675,
+                -0.0539406,
+                -0.0551571,
+                -0.0563333,
+                -0.0574795,
+                -0.0586025,
+                -0.0597071,
+                -0.0607967,
+                -0.0618739,
+                -0.0629409,
+                -0.0639991,
+                -0.0650501,
+                -0.0660952,
+                -0.0681728,
+                -0.0702467,
+                -0.0936005,
+                -1.71057
+              ],
+              [
+                3.4394,
+                0.41501,
+                0.133273,
+                0.060946,
+                5.08842e-09,
+                -0.024082,
+                -0.0425317,
+                -0.0552773,
+                -0.0625956,
+                -0.0665036,
+                -0.0690752,
+                -0.071106,
+                -0.0728678,
+                -0.0744736,
+                -0.0759789,
+                -0.0774147,
+                -0.0787999,
+                -0.0801467,
+                -0.0814635,
+                -0.0827561,
+                -0.084029,
+                -0.0852856,
+                -0.0865285,
+                -0.0877599,
+                -0.0889818,
+                -0.0914044,
+                -0.0938118,
+                -0.114785,
+                -1.7369
+              ],
+              [
+                3.44322,
+                0.436278,
+                0.144476,
+                0.0673778,
+                5.08812e-09,
+                -0.0280446,
+                -0.0507228,
+                -0.0678973,
+                -0.0793417,
+                -0.0857723,
+                -0.0895814,
+                -0.092326,
+                -0.0945783,
+                -0.0965614,
+                -0.0983786,
+                -0.100085,
+                -0.101711,
+                -0.103279,
+                -0.104802,
+                -0.106288,
+                -0.107745,
+                -0.109179,
+                -0.110592,
+                -0.111989,
+                -0.113371,
+                -0.116104,
+                -0.118811,
+                -0.137593,
+                -1.76433
+              ],
+              [
+                3.44713,
+                0.456465,
+                0.154681,
+                0.0732001,
+                5.08811e-09,
+                -0.0316045,
+                -0.0581263,
+                -0.0794099,
+                -0.0951374,
+                -0.105047,
+                -0.110732,
+                -0.114467,
+                -0.117339,
+                -0.119767,
+                -0.121932,
+                -0.123928,
+                -0.125807,
+                -0.127599,
+                -0.129327,
+                -0.131003,
+                -0.132638,
+                -0.13424,
+                -0.135815,
+                -0.137366,
+                -0.138898,
+                -0.141916,
+                -0.144894,
+                -0.161771,
+                -1.79257
+              ],
+              [
+                3.45111,
+                0.475348,
+                0.16398,
+                0.0784729,
+                5.08811e-09,
+                -0.0348048,
+                -0.06481,
+                -0.089855,
+                -0.109646,
+                -0.123579,
+                -0.131955,
+                -0.137097,
+                -0.140778,
+                -0.143746,
+                -0.146313,
+                -0.14863,
+                -0.150778,
+                -0.152804,
+                -0.154741,
+                -0.156607,
+                -0.158419,
+                -0.160185,
+                -0.161915,
+                -0.163614,
+                -0.165287,
+                -0.168573,
+                -0.171802,
+                -0.187153,
+                -1.82141
+              ],
+              [
+                3.45515,
+                0.492751,
+                0.172453,
+                0.0832509,
+                5.08811e-09,
+                -0.0376852,
+                -0.0708446,
+                -0.0993174,
+                -0.122849,
+                -0.140844,
+                -0.152678,
+                -0.159808,
+                -0.164575,
+                -0.168218,
+                -0.17126,
+                -0.173939,
+                -0.176381,
+                -0.178657,
+                -0.180812,
+                -0.182873,
+                -0.184862,
+                -0.186793,
+                -0.188675,
+                -0.190518,
+                -0.192328,
+                -0.195869,
+                -0.199334,
+                -0.213622,
+                -1.8507
+              ],
+              [
+                3.46324,
+                0.522902,
+                0.187218,
+                0.0915138,
+                5.08818e-09,
+                -0.0426233,
+                -0.081226,
+                -0.115654,
+                -0.145707,
+                -0.171002,
+                -0.19064,
+                -0.203815,
+                -0.212051,
+                -0.217685,
+                -0.222007,
+                -0.2256,
+                -0.228745,
+                -0.231591,
+                -0.234227,
+                -0.236707,
+                -0.239068,
+                -0.241335,
+                -0.243526,
+                -0.245656,
+                -0.247733,
+                -0.251766,
+                -0.255678,
+                -0.269343,
+                -1.91012
+              ],
+              [
+                3.471,
+                0.547383,
+                0.199489,
+                0.0983212,
+                5.08854e-09,
+                -0.0466524,
+                -0.0897266,
+                -0.129079,
+                -0.164545,
+                -0.195868,
+                -0.222496,
+                -0.243241,
+                -0.257301,
+                -0.266365,
+                -0.27271,
+                -0.27762,
+                -0.281703,
+                -0.285267,
+                -0.28848,
+                -0.291443,
+                -0.294218,
+                -0.29685,
+                -0.299369,
+                -0.301795,
+                -0.304146,
+                -0.30867,
+                -0.313014,
+                -0.327403,
+                -1.97012
+              ],
+              [
+                3.48816,
+                0.597148,
+                0.225163,
+                0.11239,
+                5.11376e-09,
+                -0.0548836,
+                -0.107183,
+                -0.156795,
+                -0.203607,
+                -0.247497,
+                -0.288303,
+                -0.325761,
+                -0.35933,
+                -0.387829,
+                -0.409641,
+                -0.424776,
+                -0.435292,
+                -0.443115,
+                -0.449357,
+                -0.454612,
+                -0.459207,
+                -0.463338,
+                -0.467127,
+                -0.470656,
+                -0.473981,
+                -0.480168,
+                -0.485905,
+                -0.503416,
+                -2.14975
+              ],
+              [
+                3.50114,
+                0.635362,
+                0.245157,
+                0.123206,
+                5.75176e-09,
+                -0.0611916,
+                -0.12074,
+                -0.178585,
+                -0.234668,
+                -0.288925,
+                -0.34129,
+                -0.391689,
+                -0.440039,
+                -0.486228,
+                -0.530088,
+                -0.57132,
+                -0.609324,
+                -0.642936,
+                -0.67055,
+                -0.691482,
+                -0.706894,
+                -0.718564,
+                -0.727834,
+                -0.735533,
+                -0.742158,
+                -0.753308,
+                -0.762679,
+                -0.787214,
+                -2.43874
+              ]
+            ]
+          },
+          "is_inverting": "true",
+          "is_needed": "true",
+          "miller_cap_fall": 0.000200733,
+          "miller_cap_rise": 0.000502936,
+          "output_voltage_fall": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.0173718,
+                  0.0309952,
+                  0.0443477,
+                  0.0585659,
+                  0.0802783
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.0884506,
+                  0.1041427,
+                  0.1169547,
+                  0.130831,
+                  0.1522125
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              }
+            ]
+          },
+          "output_voltage_rise": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.2713822,
+                  0.4424308,
+                  0.610654,
+                  0.7796087,
+                  1.0535333
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.3339757,
+                  0.5050419,
+                  0.6734786,
+                  0.8436521,
+                  1.1155175
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              }
+            ]
+          },
+          "propagated_noise_high": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.09
+                ],
+                "index_2": [
+                  1.7541
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.8792535,
+                  0.9425799,
+                  1.1263443,
+                  1.6471297,
+                  1.794211
+                ],
+                "values": [
+                  1.30261,
+                  1.12418,
+                  1.00522,
+                  1.12418,
+                  1.30261
+                ]
+              },
+              {
+                "index_1": [
+                  1.1678
+                ],
+                "index_2": [
+                  1.01028
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.5075593,
+                  0.5487362,
+                  0.6397869,
+                  1.0297889,
+                  1.1585725
+                ],
+                "values": [
+                  1.28346,
+                  1.09354,
+                  0.966924,
+                  1.09354,
+                  1.28346
+                ]
+              },
+              {
+                "index_1": [
+                  1.23788
+                ],
+                "index_2": [
+                  0.67569
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.3402145,
+                  0.3704652,
+                  0.4404693,
+                  0.738153,
+                  0.865623
+                ],
+                "values": [
+                  1.26994,
+                  1.07191,
+                  0.939885,
+                  1.07191,
+                  1.26994
+                ]
+              },
+              {
+                "index_1": [
+                  1.09
+                ],
+                "index_2": [
+                  0.877048
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.4401418,
+                  0.4682411,
+                  0.7126653,
+                  0.8715981,
+                  0.9920861
+                ],
+                "values": [
+                  1.46894,
+                  1.3903,
+                  1.33788,
+                  1.3903,
+                  1.46894
+                ]
+              },
+              {
+                "index_1": [
+                  1.1678
+                ],
+                "index_2": [
+                  0.505139
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.2537124,
+                  0.2708465,
+                  0.3162051,
+                  0.5536818,
+                  0.6676032
+                ],
+                "values": [
+                  1.46398,
+                  1.38237,
+                  1.32796,
+                  1.38237,
+                  1.46398
+                ]
+              },
+              {
+                "index_1": [
+                  1.23788
+                ],
+                "index_2": [
+                  0.337845
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.1703349,
+                  0.1834711,
+                  0.2122622,
+                  0.3978028,
+                  0.513222
+                ],
+                "values": [
+                  1.45676,
+                  1.37082,
+                  1.31353,
+                  1.37082,
+                  1.45676
+                ]
+              },
+              {
+                "index_1": [
+                  1.1678
+                ],
+                "index_2": [
+                  1.51542
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.7624392,
+                  0.8216933,
+                  1.0068238,
+                  1.5235889,
+                  1.6893004
+                ],
+                "values": [
+                  1.0877,
+                  0.780316,
+                  0.575395,
+                  0.780316,
+                  1.0877
+                ]
+              }
+            ]
+          },
+          "propagated_noise_low": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.16385
+                ],
+                "index_2": [
+                  47.7474
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  24.3919508,
+                  25.6964893,
+                  29.4992876,
+                  31.9835919,
+                  32.9846553
+                ],
+                "values": [
+                  0.454341,
+                  0.726945,
+                  0.908681,
+                  0.726945,
+                  0.454341
+                ]
+              },
+              {
+                "index_1": [
+                  1.20931
+                ],
+                "index_2": [
+                  27.7229
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  14.2405098,
+                  15.0951513,
+                  17.666688,
+                  19.235675,
+                  19.8405486
+                ],
+                "values": [
+                  0.472033,
+                  0.755253,
+                  0.944066,
+                  0.755253,
+                  0.472033
+                ]
+              },
+              {
+                "index_1": [
+                  1.24508
+                ],
+                "index_2": [
+                  19.4392
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  10.0306073,
+                  10.6794544,
+                  12.5842815,
+                  13.817577,
+                  14.2526784
+                ],
+                "values": [
+                  0.488923,
+                  0.782276,
+                  0.977845,
+                  0.782276,
+                  0.488923
+                ]
+              },
+              {
+                "index_1": [
+                  1.16385
+                ],
+                "index_2": [
+                  23.8737
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  12.4638651,
+                  13.1992588,
+                  15.0639982,
+                  16.2346556,
+                  16.6802184
+                ],
+                "values": [
+                  0.192335,
+                  0.307736,
+                  0.38467,
+                  0.307736,
+                  0.192335
+                ]
+              },
+              {
+                "index_1": [
+                  1.20931
+                ],
+                "index_2": [
+                  13.8615
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  7.3144857,
+                  7.7944153,
+                  9.0110906,
+                  9.7575847,
+                  10.024773
+                ],
+                "values": [
+                  0.198021,
+                  0.316833,
+                  0.396042,
+                  0.316833,
+                  0.198021
+                ]
+              },
+              {
+                "index_1": [
+                  1.24508
+                ],
+                "index_2": [
+                  9.71961
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  5.1690392,
+                  5.5335968,
+                  6.3316858,
+                  7.0040125,
+                  7.1968999
+                ],
+                "values": [
+                  0.203135,
+                  0.325016,
+                  0.40627,
+                  0.325016,
+                  0.203135
+                ]
+              },
+              {
+                "index_1": [
+                  1.20931
+                ],
+                "index_2": [
+                  41.5844
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  21.0049147,
+                  21.8752157,
+                  25.4506475,
+                  28.8552354,
+                  29.6288939
+                ],
+                "values": [
+                  0.658489,
+                  1.05358,
+                  1.31698,
+                  1.05358,
+                  0.658489
+                ]
+              }
+            ]
+          },
+          "stage_type": "both"
+        },
+        "ccsn_last_stage": {
+          "dc_current ccsn_dc": {
+            "index_1": [
+              -1.6,
+              -0.8,
+              -0.32,
+              -0.16,
+              0,
+              0.08,
+              0.16,
+              0.24,
+              0.32,
+              0.4,
+              0.48,
+              0.56,
+              0.64,
+              0.72,
+              0.8,
+              0.88,
+              0.96,
+              1.04,
+              1.12,
+              1.2,
+              1.28,
+              1.36,
+              1.44,
+              1.52,
+              1.6,
+              1.76,
+              1.92,
+              2.4,
+              3.2
+            ],
+            "index_2": [
+              -1.6,
+              -0.8,
+              -0.32,
+              -0.16,
+              0,
+              0.08,
+              0.16,
+              0.24,
+              0.32,
+              0.4,
+              0.48,
+              0.56,
+              0.64,
+              0.72,
+              0.8,
+              0.88,
+              0.96,
+              1.04,
+              1.12,
+              1.2,
+              1.28,
+              1.36,
+              1.44,
+              1.52,
+              1.6,
+              1.76,
+              1.92,
+              2.4,
+              3.2
+            ],
+            "values": [
+              [
+                4.74924,
+                0.378053,
+                0.355202,
+                0.345261,
+                0.33288,
+                0.325466,
+                0.317126,
+                0.307824,
+                0.297545,
+                0.286278,
+                0.274019,
+                0.260767,
+                0.246521,
+                0.231284,
+                0.215058,
+                0.197849,
+                0.17966,
+                0.1605,
+                0.140377,
+                0.1193,
+                0.0972789,
+                0.0743256,
+                0.0504525,
+                0.0256726,
+                -6.53122e-09,
+                -0.0513605,
+                -0.101502,
+                -0.270139,
+                -2.63865
+              ],
+              [
+                4.60173,
+                0.241163,
+                0.229092,
+                0.224707,
+                0.219874,
+                0.217231,
+                0.21439,
+                0.211296,
+                0.207875,
+                0.204017,
+                0.199555,
+                0.194262,
+                0.187884,
+                0.180224,
+                0.171181,
+                0.160707,
+                0.148775,
+                0.135371,
+                0.120486,
+                0.104114,
+                0.0862538,
+                0.0669061,
+                0.0460756,
+                0.02377,
+                -1.70584e-08,
+                -0.049229,
+                -0.0990406,
+                -0.271735,
+                -2.64308
+              ],
+              [
+                4.50626,
+                0.142323,
+                0.134107,
+                0.131513,
+                0.128774,
+                0.127336,
+                0.125841,
+                0.124279,
+                0.122635,
+                0.120891,
+                0.119021,
+                0.116989,
+                0.114737,
+                0.112179,
+                0.10916,
+                0.105408,
+                0.100524,
+                0.0941539,
+                0.0861149,
+                0.0763263,
+                0.0647447,
+                0.0513407,
+                0.0360922,
+                0.0189826,
+                -1.60172e-09,
+                -0.0416765,
+                -0.0866098,
+                -0.25284,
+                -2.64009
+              ],
+              [
+                4.48206,
+                0.114222,
+                0.102782,
+                0.100675,
+                0.0984782,
+                0.097337,
+                0.0961614,
+                0.0949451,
+                0.0936807,
+                0.0923581,
+                0.0909642,
+                0.0894808,
+                0.0878823,
+                0.0861301,
+                0.0841622,
+                0.0818681,
+                0.079028,
+                0.0752324,
+                0.0700073,
+                0.0630705,
+                0.054304,
+                0.043649,
+                0.0310662,
+                0.0165249,
+                -1.60155e-09,
+                -0.0375929,
+                -0.0796594,
+                -0.241174,
+                -2.63765
+              ],
+              [
+                4.46231,
+                0.101087,
+                0.0733258,
+                0.071666,
+                0.0699556,
+                0.0690768,
+                0.0681789,
+                0.0672589,
+                0.0663128,
+                0.0653357,
+                0.0643213,
+                0.063261,
+                0.0621436,
+                0.0609528,
+                0.0596648,
+                0.0582409,
+                0.0566122,
+                0.0546293,
+                0.0519391,
+                0.0479674,
+                0.0422844,
+                0.0347129,
+                0.0251741,
+                0.0136169,
+                -1.60155e-09,
+                -0.0326417,
+                -0.0711056,
+                -0.226217,
+                -2.63443
+              ],
+              [
+                4.45433,
+                0.101037,
+                0.0596989,
+                0.058249,
+                0.0567642,
+                0.0560054,
+                0.0552334,
+                0.054446,
+                0.0536406,
+                0.0528138,
+                0.0519614,
+                0.0510779,
+                0.0501558,
+                0.049185,
+                0.048151,
+                0.0470315,
+                0.045789,
+                0.0443498,
+                0.0425315,
+                0.0398777,
+                0.0357623,
+                0.0298242,
+                0.0219275,
+                0.0120033,
+                -1.6015e-09,
+                -0.0298435,
+                -0.0662173,
+                -0.217409,
+                -2.63249
+              ],
+              [
+                4.4478,
+                0.104768,
+                0.0470381,
+                0.0457896,
+                0.0445198,
+                0.0438745,
+                0.0432207,
+                0.042557,
+                0.0418817,
+                0.0411926,
+                0.0404869,
+                0.0397613,
+                0.039011,
+                0.03823,
+                0.0374096,
+                0.0365373,
+                0.0355929,
+                0.034541,
+                0.0333019,
+                0.0316435,
+                0.0289865,
+                0.0247011,
+                0.0185056,
+                0.0102935,
+                -1.602e-09,
+                -0.02684,
+                -0.0609288,
+                -0.207674,
+                -2.63032
+              ],
+              [
+                4.44281,
+                0.111992,
+                0.0355288,
+                0.0344691,
+                0.0334046,
+                0.0328667,
+                0.0323241,
+                0.031776,
+                0.0312213,
+                0.0306587,
+                0.0300864,
+                0.0295025,
+                0.0289042,
+                0.028288,
+                0.0276491,
+                0.0269806,
+                0.0262721,
+                0.0255066,
+                0.0246511,
+                0.0236184,
+                0.0221039,
+                0.0194032,
+                0.0149379,
+                0.0084997,
+                -1.61376e-09,
+                -0.0236446,
+                -0.0552557,
+                -0.196994,
+                -2.62792
+              ],
+              [
+                4.4395,
+                0.12256,
+                0.0253946,
+                0.0244705,
+                0.0236024,
+                0.0231665,
+                0.022729,
+                0.0222893,
+                0.0218467,
+                0.0214006,
+                0.0209501,
+                0.0204939,
+                0.0200307,
+                0.0195586,
+                0.0190751,
+                0.0185767,
+                0.0180584,
+                0.0175124,
+                0.0169252,
+                0.0162673,
+                0.0154413,
+                0.0140438,
+                0.0112704,
+                0.00663946,
+                -1.81533e-09,
+                -0.0202765,
+                -0.0492227,
+                -0.185361,
+                -2.62526
+              ],
+              [
+                4.43804,
+                0.136394,
+                0.0170283,
+                0.0159772,
+                0.0152974,
+                0.014959,
+                0.0146211,
+                0.0142835,
+                0.0139458,
+                0.0136078,
+                0.0132689,
+                0.0129287,
+                0.0125865,
+                0.0122414,
+                0.0118922,
+                0.0115375,
+                0.0111751,
+                0.0108017,
+                0.0104122,
+                0.00999709,
+                0.0095315,
+                0.00890547,
+                0.00759174,
+                0.00474305,
+                -5.23043e-09,
+                -0.0167626,
+                -0.0428665,
+                -0.172787,
+                -2.62235
+              ],
+              [
+                4.43856,
+                0.153437,
+                0.0113964,
+                0.00918438,
+                0.00867819,
+                0.00843394,
+                0.00819172,
+                0.00795144,
+                0.00771301,
+                0.00747631,
+                0.00724118,
+                0.00700744,
+                0.00677484,
+                0.00654307,
+                0.00631174,
+                0.00608028,
+                0.00584796,
+                0.0056137,
+                0.00537586,
+                0.00513166,
+                0.00487534,
+                0.00458808,
+                0.00414514,
+                0.00288067,
+                -6.41789e-08,
+                -0.0131409,
+                -0.0362392,
+                -0.159315,
+                -2.61918
+              ],
+              [
+                4.4412,
+                0.17366,
+                0.0105723,
+                0.0043557,
+                0.00394744,
+                0.00379514,
+                0.00364587,
+                0.00349949,
+                0.00335598,
+                0.0032153,
+                0.00307743,
+                0.0029423,
+                0.00280986,
+                0.00268001,
+                0.00255267,
+                0.00242767,
+                0.00230482,
+                0.00218387,
+                0.00206439,
+                0.00194579,
+                0.00182692,
+                0.00170504,
+                0.00156723,
+                0.00125998,
+                -9.90339e-07,
+                -0.00947407,
+                -0.0294222,
+                -0.14506,
+                -2.61575
+              ],
+              [
+                4.44612,
+                0.197037,
+                0.0162672,
+                0.00194852,
+                0.00125324,
+                0.00118262,
+                0.00111655,
+                0.00105308,
+                0.000992125,
+                0.000933617,
+                0.000877494,
+                0.000823695,
+                0.000772152,
+                0.000722793,
+                0.000675541,
+                0.000630312,
+                0.000587011,
+                0.00054553,
+                0.000505743,
+                0.000467487,
+                0.000430531,
+                0.000394457,
+                0.000358005,
+                0.000308742,
+                -1.41535e-05,
+                -0.00590997,
+                -0.022576,
+                -0.13027,
+                -2.61214
+              ],
+              [
+                4.45335,
+                0.223277,
+                0.0278754,
+                0.00280487,
+                0.000267757,
+                0.000222192,
+                0.00019898,
+                0.000177333,
+                0.000156692,
+                0.000136929,
+                0.000117969,
+                9.97571e-05,
+                8.22429e-05,
+                6.53799e-05,
+                4.91241e-05,
+                3.34326e-05,
+                1.82634e-05,
+                3.5744e-06,
+                -1.06778e-05,
+                -2.45401e-05,
+                -3.8068e-05,
+                -5.13407e-05,
+                -6.45358e-05,
+                -7.88943e-05,
+                -0.000145311,
+                -0.00295858,
+                -0.0161337,
+                -0.115456,
+                -2.60864
+              ],
+              [
+                4.46231,
+                0.251379,
+                0.0433177,
+                0.00793406,
+                5.06243e-05,
+                -0.000146085,
+                -0.000191506,
+                -0.000231699,
+                -0.00027091,
+                -0.000309794,
+                -0.000348566,
+                -0.000387317,
+                -0.000426099,
+                -0.000464941,
+                -0.000503861,
+                -0.000542875,
+                -0.000581992,
+                -0.000621222,
+                -0.000660574,
+                -0.000700055,
+                -0.000739677,
+                -0.000779456,
+                -0.000819427,
+                -0.000859794,
+                -0.00091032,
+                -0.00186689,
+                -0.0111863,
+                -0.101753,
+                -2.60637
+              ],
+              [
+                4.47202,
+                0.280352,
+                0.0608004,
+                0.0168023,
+                9.8335e-06,
+                -0.0010141,
+                -0.00121639,
+                -0.00137042,
+                -0.00151615,
+                -0.00165898,
+                -0.00180058,
+                -0.00194167,
+                -0.00208259,
+                -0.00222355,
+                -0.00236467,
+                -0.00250602,
+                -0.00264765,
+                -0.00278959,
+                -0.00293186,
+                -0.00307448,
+                -0.00321747,
+                -0.00336085,
+                -0.00350465,
+                -0.00364894,
+                -0.00379557,
+                -0.0042687,
+                -0.0098442,
+                -0.0914543,
+                -2.6079
+              ],
+              [
+                4.48199,
+                0.30977,
+                0.0791723,
+                0.0272672,
+                1.75994e-06,
+                -0.00382511,
+                -0.00477196,
+                -0.00524657,
+                -0.00564873,
+                -0.00602622,
+                -0.00639183,
+                -0.00675071,
+                -0.00710539,
+                -0.00745731,
+                -0.00780733,
+                -0.00815602,
+                -0.00850375,
+                -0.00885079,
+                -0.00919735,
+                -0.00954357,
+                -0.00988958,
+                -0.0102355,
+                -0.0105814,
+                -0.0109275,
+                -0.0112742,
+                -0.0120029,
+                -0.014953,
+                -0.0875172,
+                -2.61623
+              ],
+              [
+                4.49214,
+                0.339433,
+                0.097586,
+                0.0378302,
+                2.24042e-07,
+                -0.00870242,
+                -0.0122277,
+                -0.0135509,
+                -0.014426,
+                -0.0151738,
+                -0.015866,
+                -0.0165277,
+                -0.0171705,
+                -0.0178006,
+                -0.0184216,
+                -0.019036,
+                -0.0196452,
+                -0.0202504,
+                -0.0208524,
+                -0.0214518,
+                -0.0220491,
+                -0.0226446,
+                -0.0232388,
+                -0.0238319,
+                -0.0244245,
+                -0.0256164,
+                -0.0274519,
+                -0.0906818,
+                -2.63138
+              ],
+              [
+                4.50241,
+                0.369194,
+                0.115461,
+                0.0479892,
+                1.77112e-08,
+                -0.0142468,
+                -0.0222063,
+                -0.025641,
+                -0.0273921,
+                -0.0286783,
+                -0.0297863,
+                -0.0308046,
+                -0.03177,
+                -0.0327009,
+                -0.0336077,
+                -0.0344968,
+                -0.0353725,
+                -0.0362377,
+                -0.0370946,
+                -0.0379446,
+                -0.0387889,
+                -0.0396286,
+                -0.0404644,
+                -0.0412972,
+                -0.0421276,
+                -0.0437859,
+                -0.0455773,
+                -0.0994287,
+                -2.65113
+              ],
+              [
+                4.5128,
+                0.39892,
+                0.132491,
+                0.05761,
+                2.41842e-09,
+                -0.0197298,
+                -0.0327502,
+                -0.0398264,
+                -0.0432283,
+                -0.0453307,
+                -0.0469756,
+                -0.0484104,
+                -0.0497286,
+                -0.0509738,
+                -0.0521694,
+                -0.0533295,
+                -0.054463,
+                -0.0555759,
+                -0.0566724,
+                -0.0577557,
+                -0.058828,
+                -0.0598914,
+                -0.0609472,
+                -0.0619968,
+                -0.0630416,
+                -0.0651214,
+                -0.0672237,
+                -0.112343,
+                -2.67379
+              ],
+              [
+                4.5233,
+                0.428479,
+                0.148562,
+                0.0666621,
+                1.64566e-09,
+                -0.0249479,
+                -0.0430206,
+                -0.0545931,
+                -0.0607397,
+                -0.0641192,
+                -0.066486,
+                -0.0684233,
+                -0.070137,
+                -0.0717167,
+                -0.0732084,
+                -0.0746383,
+                -0.0760227,
+                -0.0773724,
+                -0.0786947,
+                -0.0799951,
+                -0.0812774,
+                -0.082545,
+                -0.0838001,
+                -0.0850449,
+                -0.0862813,
+                -0.0887362,
+                -0.0911849,
+                -0.128594,
+                -2.69847
+              ],
+              [
+                4.53389,
+                0.457743,
+                0.163659,
+                0.0751483,
+                1.62095e-09,
+                -0.0298546,
+                -0.0527862,
+                -0.0690475,
+                -0.0789176,
+                -0.0842522,
+                -0.0876179,
+                -0.0901807,
+                -0.0923507,
+                -0.0942961,
+                -0.0960986,
+                -0.0978031,
+                -0.0994368,
+                -0.101017,
+                -0.102556,
+                -0.104062,
+                -0.105541,
+                -0.106997,
+                -0.108435,
+                -0.109858,
+                -0.111268,
+                -0.11406,
+                -0.11683,
+                -0.147659,
+                -2.7247
+              ],
+              [
+                4.54456,
+                0.486576,
+                0.1778,
+                0.0830841,
+                1.63843e-09,
+                -0.0344461,
+                -0.0619895,
+                -0.0828384,
+                -0.0969596,
+                -0.10506,
+                -0.10982,
+                -0.113179,
+                -0.115889,
+                -0.118243,
+                -0.120379,
+                -0.122369,
+                -0.124256,
+                -0.126066,
+                -0.127816,
+                -0.12952,
+                -0.131186,
+                -0.132821,
+                -0.134431,
+                -0.136018,
+                -0.137588,
+                -0.140687,
+                -0.143751,
+                -0.169149,
+                -2.75214
+              ],
+              [
+                4.55531,
+                0.514833,
+                0.191023,
+                0.0904912,
+                1.67068e-09,
+                -0.0387313,
+                -0.0706244,
+                -0.0958638,
+                -0.114352,
+                -0.125951,
+                -0.132627,
+                -0.137016,
+                -0.140375,
+                -0.143195,
+                -0.145696,
+                -0.147989,
+                -0.150138,
+                -0.15218,
+                -0.154141,
+                -0.15604,
+                -0.157887,
+                -0.159694,
+                -0.161466,
+                -0.163209,
+                -0.164928,
+                -0.168312,
+                -0.171646,
+                -0.192748,
+                -2.78056
+              ],
+              [
+                4.56611,
+                0.542354,
+                0.203367,
+                0.0973933,
+                1.72235e-09,
+                -0.042723,
+                -0.0787035,
+                -0.108107,
+                -0.130854,
+                -0.146418,
+                -0.155624,
+                -0.161353,
+                -0.165504,
+                -0.168865,
+                -0.171771,
+                -0.17439,
+                -0.176813,
+                -0.179094,
+                -0.181269,
+                -0.183362,
+                -0.185388,
+                -0.187362,
+                -0.189291,
+                -0.191184,
+                -0.193045,
+                -0.196697,
+                -0.200283,
+                -0.218183,
+                -2.80975
+              ],
+              [
+                4.58781,
+                0.594472,
+                0.225588,
+                0.109783,
+                1.91528e-09,
+                -0.0498845,
+                -0.0932781,
+                -0.130312,
+                -0.16097,
+                -0.184722,
+                -0.200696,
+                -0.210367,
+                -0.216716,
+                -0.221459,
+                -0.225342,
+                -0.228709,
+                -0.231738,
+                -0.234531,
+                -0.237152,
+                -0.239641,
+                -0.242028,
+                -0.244331,
+                -0.246568,
+                -0.248748,
+                -0.250881,
+                -0.255037,
+                -0.259087,
+                -0.273619,
+                -2.86989
+              ],
+              [
+                4.60945,
+                0.64139,
+                0.244799,
+                0.120453,
+                2.29372e-09,
+                -0.0560488,
+                -0.105905,
+                -0.149669,
+                -0.187357,
+                -0.218657,
+                -0.242465,
+                -0.258074,
+                -0.267829,
+                -0.274558,
+                -0.279734,
+                -0.284029,
+                -0.287774,
+                -0.291149,
+                -0.294259,
+                -0.297171,
+                -0.299933,
+                -0.302574,
+                -0.305119,
+                -0.307583,
+                -0.309982,
+                -0.31462,
+                -0.319103,
+                -0.333375,
+                -2.93158
+              ],
+              [
+                4.66858,
+                0.742739,
+                0.287453,
+                0.143987,
+                5.56154e-09,
+                -0.0696525,
+                -0.134075,
+                -0.193302,
+                -0.247357,
+                -0.296197,
+                -0.339576,
+                -0.376646,
+                -0.405566,
+                -0.425416,
+                -0.438544,
+                -0.447851,
+                -0.455034,
+                -0.460947,
+                -0.466042,
+                -0.470573,
+                -0.474698,
+                -0.478517,
+                -0.482098,
+                -0.48549,
+                -0.488729,
+                -0.494845,
+                -0.500602,
+                -0.51773,
+                -3.1196
+              ],
+              [
+                4.71432,
+                0.820176,
+                0.323496,
+                0.163677,
+                2.4958e-08,
+                -0.0811577,
+                -0.158468,
+                -0.231926,
+                -0.301528,
+                -0.367267,
+                -0.429123,
+                -0.487041,
+                -0.540864,
+                -0.590173,
+                -0.633926,
+                -0.670151,
+                -0.697285,
+                -0.716499,
+                -0.730443,
+                -0.741168,
+                -0.749878,
+                -0.757258,
+                -0.763712,
+                -0.769493,
+                -0.774765,
+                -0.784203,
+                -0.7926,
+                -0.815251,
+                -3.42206
+              ]
+            ]
+          },
+          "is_inverting": "true",
+          "is_needed": "true",
+          "miller_cap_fall": 0.00083195,
+          "miller_cap_rise": 0.00060997,
+          "output_voltage_fall": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.0036196
+                ],
+                "index_3": [
+                  0.0188886,
+                  0.0275666,
+                  0.0364767,
+                  0.0462764,
+                  0.0599664
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              },
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.0108588
+                ],
+                "index_3": [
+                  0.0251008,
+                  0.046266,
+                  0.0685657,
+                  0.0924241,
+                  0.1251903
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.0036196
+                ],
+                "index_3": [
+                  0.0874046,
+                  0.0991117,
+                  0.1082227,
+                  0.1179826,
+                  0.131726
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.0108588
+                ],
+                "index_3": [
+                  0.0968107,
+                  0.1183402,
+                  0.1405974,
+                  0.1641895,
+                  0.1970766
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              }
+            ]
+          },
+          "output_voltage_rise": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.00131167
+                ],
+                "index_3": [
+                  0.0299261,
+                  0.0428596,
+                  0.0563337,
+                  0.0713974,
+                  0.0958517
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              },
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.003935
+                ],
+                "index_3": [
+                  0.0369173,
+                  0.0623484,
+                  0.0896477,
+                  0.1203759,
+                  0.1664484
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.00131167
+                ],
+                "index_3": [
+                  0.1015425,
+                  0.1143335,
+                  0.1279034,
+                  0.1433405,
+                  0.1676422
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.003935
+                ],
+                "index_3": [
+                  0.1089449,
+                  0.1344913,
+                  0.1618047,
+                  0.19188,
+                  0.2380487
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              }
+            ]
+          },
+          "propagated_noise_high": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.1041
+                ],
+                "index_2": [
+                  1.3941
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.7015108,
+                  0.7565083,
+                  0.9661298,
+                  1.1641274,
+                  1.237881
+                ],
+                "values": [
+                  1.15822,
+                  0.893155,
+                  0.716444,
+                  0.893155,
+                  1.15822
+                ]
+              },
+              {
+                "index_1": [
+                  1.18038
+                ],
+                "index_2": [
+                  0.806698
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.4086029,
+                  0.4460751,
+                  0.5879434,
+                  0.7099608,
+                  0.7608041
+                ],
+                "values": [
+                  1.14651,
+                  0.87442,
+                  0.693025,
+                  0.87442,
+                  1.14651
+                ]
+              },
+              {
+                "index_1": [
+                  1.2488
+                ],
+                "index_2": [
+                  0.541089
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.2757807,
+                  0.304107,
+                  0.4201288,
+                  0.4973579,
+                  0.534981
+                ],
+                "values": [
+                  1.13865,
+                  0.861846,
+                  0.677307,
+                  0.861846,
+                  1.13865
+                ]
+              },
+              {
+                "index_1": [
+                  1.1041
+                ],
+                "index_2": [
+                  0.697052
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.3595157,
+                  0.392377,
+                  0.5223025,
+                  0.5963479,
+                  0.6374801
+                ],
+                "values": [
+                  1.3726,
+                  1.23616,
+                  1.14519,
+                  1.23616,
+                  1.3726
+                ]
+              },
+              {
+                "index_1": [
+                  1.2488
+                ],
+                "index_2": [
+                  0.270545
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.1433916,
+                  0.1606922,
+                  0.2180435,
+                  0.2574121,
+                  0.2805347
+                ],
+                "values": [
+                  1.36789,
+                  1.22862,
+                  1.13577,
+                  1.22862,
+                  1.36789
+                ]
+              },
+              {
+                "index_1": [
+                  1.18038
+                ],
+                "index_2": [
+                  0.806698
+                ],
+                "index_3": [
+                  0.0108588
+                ],
+                "index_4": [
+                  0.4118444,
+                  0.4510615,
+                  0.5869026,
+                  0.726376,
+                  0.7915965
+                ],
+                "values": [
+                  1.40453,
+                  1.28725,
+                  1.20907,
+                  1.28725,
+                  1.40453
+                ]
+              },
+              {
+                "index_1": [
+                  1.2488
+                ],
+                "index_2": [
+                  0.541089
+                ],
+                "index_3": [
+                  0.0108588
+                ],
+                "index_4": [
+                  0.27817,
+                  0.3088227,
+                  0.4224133,
+                  0.5083041,
+                  0.5594671
+                ],
+                "values": [
+                  1.40136,
+                  1.28218,
+                  1.20272,
+                  1.28218,
+                  1.40136
+                ]
+              }
+            ]
+          },
+          "propagated_noise_low": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.18421
+                ],
+                "index_2": [
+                  2.57649
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  1.2980511,
+                  1.3902632,
+                  1.7139063,
+                  1.8850914,
+                  1.9571878
+                ],
+                "values": [
+                  0.493614,
+                  0.789783,
+                  0.987229,
+                  0.789783,
+                  0.493614
+                ]
+              },
+              {
+                "index_1": [
+                  1.2548
+                ],
+                "index_2": [
+                  1.51551
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  0.7688288,
+                  0.8329439,
+                  1.0255142,
+                  1.1556873,
+                  1.2031148
+                ],
+                "values": [
+                  0.513328,
+                  0.821325,
+                  1.02666,
+                  0.821325,
+                  0.513328
+                ]
+              },
+              {
+                "index_1": [
+                  1.31575
+                ],
+                "index_2": [
+                  1.03273
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  0.5268636,
+                  0.5757628,
+                  0.7140312,
+                  0.8148045,
+                  0.8488782
+                ],
+                "values": [
+                  0.527526,
+                  0.844041,
+                  1.05505,
+                  0.844041,
+                  0.527526
+                ]
+              },
+              {
+                "index_1": [
+                  1.18421
+                ],
+                "index_2": [
+                  1.28824
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  0.6651608,
+                  0.7187977,
+                  0.8801684,
+                  0.9602191,
+                  0.9952697
+                ],
+                "values": [
+                  0.266452,
+                  0.426324,
+                  0.532905,
+                  0.426324,
+                  0.266452
+                ]
+              },
+              {
+                "index_1": [
+                  1.31575
+                ],
+                "index_2": [
+                  0.516364
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  0.2740289,
+                  0.3038656,
+                  0.384301,
+                  0.4152739,
+                  0.4322568
+                ],
+                "values": [
+                  0.280207,
+                  0.448332,
+                  0.560414,
+                  0.448332,
+                  0.280207
+                ]
+              },
+              {
+                "index_1": [
+                  1.2548
+                ],
+                "index_2": [
+                  1.51551
+                ],
+                "index_3": [
+                  0.003935
+                ],
+                "index_4": [
+                  0.7727273,
+                  0.8401517,
+                  1.0554991,
+                  1.1717085,
+                  1.2196482
+                ],
+                "values": [
+                  0.278676,
+                  0.445882,
+                  0.557353,
+                  0.445882,
+                  0.278676
+                ]
+              },
+              {
+                "index_1": [
+                  1.31575
+                ],
+                "index_2": [
+                  1.03273
+                ],
+                "index_3": [
+                  0.003935
+                ],
+                "index_4": [
+                  0.5299942,
+                  0.5812526,
+                  0.7233189,
+                  0.8246491,
+                  0.8596153
+                ],
+                "values": [
+                  0.282969,
+                  0.452751,
+                  0.565939,
+                  0.452751,
+                  0.282969
+                ]
+              }
+            ]
+          },
+          "stage_type": "both"
+        },
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00144888,
+            0.00419848,
+            0.0121662,
+            0.0352545,
+            0.102159,
+            0.29603
+          ],
+          "values": [
+            [
+              0.8955187,
+              0.9169758,
+              0.9611398,
+              1.0456322,
+              1.2074335,
+              1.5500247,
+              2.4133471
+            ],
+            [
+              0.8977376,
+              0.919184,
+              0.9628833,
+              1.0481613,
+              1.2100271,
+              1.553369,
+              2.4169884
+            ],
+            [
+              0.9165427,
+              0.9379072,
+              0.981648,
+              1.06674,
+              1.228581,
+              1.5718825,
+              2.4354168
+            ],
+            [
+              0.991491,
+              1.012725,
+              1.0567044,
+              1.141264,
+              1.3038975,
+              1.6465092,
+              2.5101854
+            ],
+            [
+              1.2098282,
+              1.2317433,
+              1.2759805,
+              1.3604542,
+              1.5220835,
+              1.8653885,
+              2.729025
+            ],
+            [
+              1.797207,
+              1.8184964,
+              1.8626415,
+              1.9458253,
+              2.1084365,
+              2.4514855,
+              3.3150511
+            ],
+            [
+              3.3376129,
+              3.3616856,
+              3.4119068,
+              3.5027643,
+              3.6770347,
+              4.031687,
+              4.8993334
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00144888,
+            0.00419848,
+            0.0121662,
+            0.0352545,
+            0.102159,
+            0.29603
+          ],
+          "values": [
+            [
+              0.1047811,
+              0.1185889,
+              0.1553354,
+              0.2559483,
+              0.5422532,
+              1.3661947,
+              3.7503942
+            ],
+            [
+              0.1138167,
+              0.1275943,
+              0.1643636,
+              0.2653166,
+              0.5511674,
+              1.3732809,
+              3.7633474
+            ],
+            [
+              0.1398958,
+              0.1535758,
+              0.1900333,
+              0.2909279,
+              0.5764154,
+              1.402134,
+              3.7882528
+            ],
+            [
+              0.211699,
+              0.2251232,
+              0.2612374,
+              0.3621166,
+              0.6476659,
+              1.472035,
+              3.8571022
+            ],
+            [
+              0.367519,
+              0.3819022,
+              0.4188394,
+              0.5193042,
+              0.805137,
+              1.6309462,
+              4.0199786
+            ],
+            [
+              0.6722411,
+              0.6903993,
+              0.7307615,
+              0.8320618,
+              1.1187947,
+              1.9443227,
+              4.3251438
+            ],
+            [
+              1.2501588,
+              1.2779919,
+              1.3332306,
+              1.4454913,
+              1.7306574,
+              2.5552769,
+              4.9392641
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00144888,
+            0.00419848,
+            0.0121662,
+            0.0352545,
+            0.102159,
+            0.29603
+          ],
+          "values": [
+            [
+              0.0830642,
+              0.0938964,
+              0.119369,
+              0.1794644,
+              0.315024,
+              0.6727854,
+              1.7517244
+            ],
+            [
+              0.0821581,
+              0.0943818,
+              0.1194341,
+              0.1802056,
+              0.3152764,
+              0.6709406,
+              1.7552547
+            ],
+            [
+              0.082254,
+              0.0937343,
+              0.1197636,
+              0.1772832,
+              0.3172199,
+              0.6698287,
+              1.7492883
+            ],
+            [
+              0.0825791,
+              0.0931792,
+              0.1196829,
+              0.1773792,
+              0.3163562,
+              0.6728939,
+              1.7588986
+            ],
+            [
+              0.0825785,
+              0.0942185,
+              0.1193953,
+              0.179438,
+              0.3150973,
+              0.6706831,
+              1.7549018
+            ],
+            [
+              0.0825503,
+              0.0930365,
+              0.1184789,
+              0.1783347,
+              0.315339,
+              0.6712856,
+              1.7532051
+            ],
+            [
+              0.0988167,
+              0.1103911,
+              0.1350319,
+              0.1971153,
+              0.3347897,
+              0.6838996,
+              1.7537085
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00144888,
+            0.00419848,
+            0.0121662,
+            0.0352545,
+            0.102159,
+            0.29603
+          ],
+          "values": [
+            [
+              0.036923,
+              0.0524672,
+              0.0975821,
+              0.2316442,
+              0.6191878,
+              1.7555358,
+              4.9676467
+            ],
+            [
+              0.0367668,
+              0.0521042,
+              0.0976388,
+              0.2309117,
+              0.6186904,
+              1.7578456,
+              4.9916773
+            ],
+            [
+              0.0365354,
+              0.052058,
+              0.0978185,
+              0.2310638,
+              0.6213729,
+              1.741437,
+              5.0086202
+            ],
+            [
+              0.036548,
+              0.0518428,
+              0.0974222,
+              0.2306042,
+              0.6235154,
+              1.7367867,
+              4.9680753
+            ],
+            [
+              0.041402,
+              0.0559512,
+              0.0992057,
+              0.2294913,
+              0.6149845,
+              1.7491126,
+              4.9862345
+            ],
+            [
+              0.0561432,
+              0.0688613,
+              0.1075194,
+              0.2343583,
+              0.6204218,
+              1.7451682,
+              4.9857083
+            ],
+            [
+              0.0938948,
+              0.1079855,
+              0.1426853,
+              0.2493691,
+              0.6238884,
+              1.7426954,
+              4.9894813
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "ccsn_first_stage": {
+          "dc_current ccsn_dc": {
+            "index_1": [
+              -1.6,
+              -0.8,
+              -0.32,
+              -0.16,
+              0,
+              0.08,
+              0.16,
+              0.24,
+              0.32,
+              0.4,
+              0.48,
+              0.56,
+              0.64,
+              0.72,
+              0.8,
+              0.88,
+              0.96,
+              1.04,
+              1.12,
+              1.2,
+              1.28,
+              1.36,
+              1.44,
+              1.52,
+              1.6,
+              1.76,
+              1.92,
+              2.4,
+              3.2
+            ],
+            "index_2": [
+              -1.6,
+              -0.8,
+              -0.32,
+              -0.16,
+              0,
+              0.08,
+              0.16,
+              0.24,
+              0.32,
+              0.4,
+              0.48,
+              0.56,
+              0.64,
+              0.72,
+              0.8,
+              0.88,
+              0.96,
+              1.04,
+              1.12,
+              1.2,
+              1.28,
+              1.36,
+              1.44,
+              1.52,
+              1.6,
+              1.76,
+              1.92,
+              2.4,
+              3.2
+            ],
+            "values": [
+              [
+                3.40258,
+                0.0757948,
+                0.0166528,
+                0.0164393,
+                0.0162191,
+                0.016106,
+                0.0159906,
+                0.0158725,
+                0.0157515,
+                0.0156271,
+                0.0154985,
+                0.015365,
+                0.0152255,
+                0.0150784,
+                0.0149212,
+                0.0147501,
+                0.0145571,
+                0.01432,
+                0.0139472,
+                0.0131894,
+                0.0118344,
+                0.00982345,
+                0.0071606,
+                0.00387364,
+                -1.06029e-08,
+                -0.00928082,
+                -0.020248,
+                -0.0621897,
+                -1.62645
+              ],
+              [
+                3.40214,
+                0.0753852,
+                0.0162663,
+                0.0160609,
+                0.015849,
+                0.0157402,
+                0.0156292,
+                0.0155157,
+                0.0153993,
+                0.0152796,
+                0.0151559,
+                0.0150276,
+                0.0148935,
+                0.014752,
+                0.0146009,
+                0.0144364,
+                0.0142509,
+                0.0140229,
+                0.0136628,
+                0.0129261,
+                0.0116048,
+                0.00964094,
+                0.00703579,
+                0.00381198,
+                -1.17317e-08,
+                -0.00919144,
+                -0.0201562,
+                -0.0628462,
+                -1.62662
+              ],
+              [
+                3.40255,
+                0.0746513,
+                0.0151425,
+                0.0149613,
+                0.0147742,
+                0.0146781,
+                0.01458,
+                0.0144796,
+                0.0143767,
+                0.0142708,
+                0.0141614,
+                0.0140478,
+                0.013929,
+                0.0138038,
+                0.0136701,
+                0.0135244,
+                0.0133601,
+                0.0131577,
+                0.0128338,
+                0.012157,
+                0.0109311,
+                0.0090989,
+                0.00665613,
+                0.0036165,
+                -1.04943e-08,
+                -0.00881197,
+                -0.0194718,
+                -0.061943,
+                -1.62653
+              ],
+              [
+                3.40448,
+                0.0782408,
+                0.0142444,
+                0.0140851,
+                0.0139203,
+                0.0138355,
+                0.0137489,
+                0.0136601,
+                0.013569,
+                0.0134752,
+                0.0133782,
+                0.0132773,
+                0.0131719,
+                0.0130605,
+                0.0129415,
+                0.0128118,
+                0.0126653,
+                0.0124843,
+                0.012191,
+                0.0115663,
+                0.0104219,
+                0.00869751,
+                0.00638096,
+                0.00347761,
+                -1.10667e-08,
+                -0.00855365,
+                -0.0190074,
+                -0.0612218,
+                -1.62645
+              ],
+              [
+                3.4067,
+                0.0936414,
+                0.0126464,
+                0.0125294,
+                0.0124074,
+                0.0123441,
+                0.0122792,
+                0.0122125,
+                0.0121438,
+                0.0120727,
+                0.0119989,
+                0.011922,
+                0.0118412,
+                0.0117556,
+                0.0116638,
+                0.0115634,
+                0.0114495,
+                0.0113078,
+                0.0110717,
+                0.0105474,
+                0.00955879,
+                0.00803219,
+                0.00593581,
+                0.00325817,
+                -1.11925e-08,
+                -0.00817073,
+                -0.0183357,
+                -0.0601818,
+                -1.62632
+              ],
+              [
+                3.40755,
+                0.105792,
+                0.0113632,
+                0.0112807,
+                0.0111935,
+                0.0111479,
+                0.0111008,
+                0.0110521,
+                0.0110015,
+                0.010949,
+                0.0108942,
+                0.0108366,
+                0.0107759,
+                0.0107112,
+                0.0106414,
+                0.0105646,
+                0.010477,
+                0.010367,
+                0.0101785,
+                0.0097412,
+                0.00888678,
+                0.00752512,
+                0.00560435,
+                0.00309849,
+                -1.07675e-08,
+                -0.00791023,
+                -0.0178915,
+                -0.0595113,
+                -1.62624
+              ],
+              [
+                3.40808,
+                0.119945,
+                0.00957381,
+                0.00953211,
+                0.00948787,
+                0.00946432,
+                0.00943971,
+                0.00941394,
+                0.00938689,
+                0.00935839,
+                0.00932826,
+                0.00929624,
+                0.00926199,
+                0.00922502,
+                0.00918462,
+                0.00913956,
+                0.00908738,
+                0.00902046,
+                0.0088994,
+                0.00859254,
+                0.0079414,
+                0.006824,
+                0.00515471,
+                0.00288603,
+                -1.13553e-08,
+                -0.00758449,
+                -0.0173504,
+                -0.0587192,
+                -1.62614
+              ],
+              [
+                3.40851,
+                0.135791,
+                0.00735143,
+                0.00732402,
+                0.0073054,
+                0.00729571,
+                0.00728571,
+                0.00727538,
+                0.00726467,
+                0.00725351,
+                0.00724183,
+                0.00722954,
+                0.00721649,
+                0.00720252,
+                0.00718732,
+                0.00717045,
+                0.00715095,
+                0.00712575,
+                0.00707709,
+                0.0069362,
+                0.00657837,
+                0.00582652,
+                0.00452648,
+                0.00259492,
+                -1.05965e-08,
+                -0.00717101,
+                -0.016686,
+                -0.0577851,
+                -1.62602
+              ],
+              [
+                3.40913,
+                0.153374,
+                0.00513889,
+                0.00504248,
+                0.00503086,
+                0.00502498,
+                0.005019,
+                0.00501291,
+                0.0050067,
+                0.00500035,
+                0.00499383,
+                0.00498711,
+                0.00498014,
+                0.00497286,
+                0.00496516,
+                0.00495689,
+                0.00494768,
+                0.0049362,
+                0.00491368,
+                0.00485025,
+                0.00471374,
+                0.00440017,
+                0.00362879,
+                0.00218396,
+                -1.1125e-08,
+                -0.00663616,
+                -0.0158612,
+                -0.0566844,
+                -1.62589
+              ],
+              [
+                3.41012,
+                0.172665,
+                0.00348092,
+                0.00296988,
+                0.0029606,
+                0.00295664,
+                0.00295263,
+                0.00294858,
+                0.00294447,
+                0.00294029,
+                0.00293603,
+                0.00293168,
+                0.00292721,
+                0.00292259,
+                0.00291776,
+                0.00291265,
+                0.00290706,
+                0.00290016,
+                0.00288516,
+                0.00283959,
+                0.0027575,
+                0.00263781,
+                0.00238332,
+                0.00159925,
+                -1.69243e-08,
+                -0.00592828,
+                -0.0148235,
+                -0.0553864,
+                -1.62573
+              ],
+              [
+                3.41169,
+                0.193659,
+                0.00359095,
+                0.00135878,
+                0.00133671,
+                0.00133433,
+                0.00133194,
+                0.00132954,
+                0.00132711,
+                0.00132465,
+                0.00132215,
+                0.00131962,
+                0.00131703,
+                0.00131438,
+                0.00131164,
+                0.00130877,
+                0.00130566,
+                0.00130182,
+                0.00129133,
+                0.001257,
+                0.00120297,
+                0.00113873,
+                0.0010598,
+                0.000849237,
+                -1.11585e-07,
+                -0.00496808,
+                -0.0134959,
+                -0.0538528,
+                -1.62555
+              ],
+              [
+                3.41407,
+                0.216369,
+                0.00772736,
+                0.000537145,
+                0.000399781,
+                0.000398528,
+                0.00039748,
+                0.00039643,
+                0.000395375,
+                0.00039431,
+                0.000393234,
+                0.000392144,
+                0.000391037,
+                0.000389908,
+                0.000388748,
+                0.000387542,
+                0.000386246,
+                0.000384509,
+                0.000377168,
+                0.000357925,
+                0.000334093,
+                0.000309358,
+                0.000283746,
+                0.000247777,
+                -1.6257e-06,
+                -0.00365195,
+                -0.0117663,
+                -0.0520363,
+                -1.62534
+              ],
+              [
+                3.41719,
+                0.240522,
+                0.0170119,
+                0.000835796,
+                8.51972e-05,
+                8.08689e-05,
+                7.96459e-05,
+                7.85021e-05,
+                7.73642e-05,
+                7.62204e-05,
+                7.50666e-05,
+                7.39008e-05,
+                7.27212e-05,
+                7.15262e-05,
+                7.03131e-05,
+                6.90765e-05,
+                6.77916e-05,
+                6.60066e-05,
+                6.1214e-05,
+                5.46931e-05,
+                4.8052e-05,
+                4.1562e-05,
+                3.5136e-05,
+                2.77798e-05,
+                -2.23068e-05,
+                -0.00202535,
+                -0.00950381,
+                -0.0498988,
+                -1.62513
+              ],
+              [
+                3.42067,
+                0.265543,
+                0.0303532,
+                0.00323852,
+                1.74142e-05,
+                -2.37139e-05,
+                -3.33332e-05,
+                -4.20663e-05,
+                -5.06817e-05,
+                -5.92991e-05,
+                -6.79559e-05,
+                -7.66673e-05,
+                -8.54403e-05,
+                -9.42787e-05,
+                -0.000103185,
+                -0.000112162,
+                -0.000121247,
+                -0.000130896,
+                -0.000141104,
+                -0.00015143,
+                -0.000161779,
+                -0.000172149,
+                -0.000182562,
+                -0.000193184,
+                -0.000212136,
+                -0.000914763,
+                -0.00688826,
+                -0.0475727,
+                -1.62523
+              ],
+              [
+                3.42429,
+                0.29105,
+                0.046299,
+                0.0093984,
+                3.53175e-06,
+                -0.000265846,
+                -0.000323676,
+                -0.000373928,
+                -0.000422929,
+                -0.000471632,
+                -0.000520339,
+                -0.000569173,
+                -0.000618195,
+                -0.000667436,
+                -0.000716912,
+                -0.000766635,
+                -0.000816681,
+                -0.0008671,
+                -0.000917788,
+                -0.000968716,
+                -0.00101988,
+                -0.00107129,
+                -0.00112295,
+                -0.00117489,
+                -0.00122865,
+                -0.0014827,
+                -0.00529892,
+                -0.0458718,
+                -1.62687
+              ],
+              [
+                3.42801,
+                0.316829,
+                0.0636466,
+                0.0187703,
+                6.03583e-07,
+                -0.0013636,
+                -0.00163327,
+                -0.0018281,
+                -0.00201016,
+                -0.00218763,
+                -0.00236299,
+                -0.00253728,
+                -0.00271105,
+                -0.00288458,
+                -0.00305805,
+                -0.00323158,
+                -0.00340527,
+                -0.00357914,
+                -0.00375321,
+                -0.00392751,
+                -0.00410207,
+                -0.00427691,
+                -0.00445206,
+                -0.00462758,
+                -0.00480373,
+                -0.00518574,
+                -0.00724486,
+                -0.0468532,
+                -1.63277
+              ],
+              [
+                3.43181,
+                0.342715,
+                0.0813394,
+                0.0291295,
+                6.82857e-08,
+                -0.00465877,
+                -0.00591277,
+                -0.00649,
+                -0.00696239,
+                -0.00739994,
+                -0.00782072,
+                -0.00823188,
+                -0.00863687,
+                -0.00903766,
+                -0.0094354,
+                -0.00983088,
+                -0.0102246,
+                -0.0106169,
+                -0.0110081,
+                -0.0113984,
+                -0.0117879,
+                -0.0121768,
+                -0.0125652,
+                -0.0129534,
+                -0.0133415,
+                -0.0141239,
+                -0.0154235,
+                -0.0529191,
+                -1.64542
+              ],
+              [
+                3.43567,
+                0.368554,
+                0.0985198,
+                0.0391626,
+                7.61973e-09,
+                -0.00975171,
+                -0.0140271,
+                -0.015622,
+                -0.0166147,
+                -0.0174417,
+                -0.018198,
+                -0.018916,
+                -0.0196102,
+                -0.0202884,
+                -0.0209552,
+                -0.0216133,
+                -0.0222646,
+                -0.0229107,
+                -0.0235523,
+                -0.0241902,
+                -0.0248251,
+                -0.0254574,
+                -0.0260875,
+                -0.0267158,
+                -0.0273429,
+                -0.0285961,
+                -0.0299606,
+                -0.0642785,
+                -1.66407
+              ],
+              [
+                3.4396,
+                0.394199,
+                0.114684,
+                0.0485105,
+                3.61287e-09,
+                -0.0151784,
+                -0.02411,
+                -0.0281515,
+                -0.0301273,
+                -0.0315211,
+                -0.032699,
+                -0.0337706,
+                -0.0347802,
+                -0.0357497,
+                -0.0366911,
+                -0.037612,
+                -0.0385173,
+                -0.0394102,
+                -0.0402931,
+                -0.0411678,
+                -0.0420356,
+                -0.0428978,
+                -0.043755,
+                -0.0446083,
+                -0.0454584,
+                -0.0471525,
+                -0.0488673,
+                -0.0795714,
+                -1.68652
+              ],
+              [
+                3.44358,
+                0.419497,
+                0.129663,
+                0.0571191,
+                3.41635e-09,
+                -0.0203361,
+                -0.0342727,
+                -0.0422482,
+                -0.0461078,
+                -0.0483851,
+                -0.0501157,
+                -0.0516027,
+                -0.0529571,
+                -0.0542296,
+                -0.055447,
+                -0.0566248,
+                -0.0577731,
+                -0.0588985,
+                -0.0600058,
+                -0.0610983,
+                -0.0621785,
+                -0.0632486,
+                -0.06431,
+                -0.0653644,
+                -0.0664128,
+                -0.0684975,
+                -0.0705797,
+                -0.0976583,
+                -1.7113
+              ],
+              [
+                3.4476,
+                0.444283,
+                0.143462,
+                0.0650138,
+                3.40757e-09,
+                -0.0250911,
+                -0.0438473,
+                -0.056392,
+                -0.0633276,
+                -0.0670396,
+                -0.0695372,
+                -0.071536,
+                -0.0732822,
+                -0.07488,
+                -0.0763814,
+                -0.0778157,
+                -0.0792008,
+                -0.0805485,
+                -0.0818668,
+                -0.0831615,
+                -0.0844369,
+                -0.0856961,
+                -0.086942,
+                -0.0881766,
+                -0.0894019,
+                -0.0918318,
+                -0.0942478,
+                -0.1179,
+                -1.73764
+              ],
+              [
+                3.45166,
+                0.468376,
+                0.156147,
+                0.072241,
+                3.40717e-09,
+                -0.0294378,
+                -0.0526973,
+                -0.0698039,
+                -0.0807217,
+                -0.0866842,
+                -0.0902895,
+                -0.0929468,
+                -0.095156,
+                -0.0971155,
+                -0.098919,
+                -0.100617,
+                -0.102239,
+                -0.103805,
+                -0.105326,
+                -0.106813,
+                -0.108271,
+                -0.109706,
+                -0.111122,
+                -0.112521,
+                -0.113906,
+                -0.116646,
+                -0.119361,
+                -0.139932,
+                -1.76511
+              ],
+              [
+                3.45574,
+                0.491574,
+                0.167797,
+                0.0788519,
+                3.40715e-09,
+                -0.0334014,
+                -0.0608255,
+                -0.0822574,
+                -0.0974823,
+                -0.106603,
+                -0.111824,
+                -0.115357,
+                -0.118132,
+                -0.120507,
+                -0.122641,
+                -0.124618,
+                -0.126483,
+                -0.128268,
+                -0.12999,
+                -0.131663,
+                -0.133297,
+                -0.134899,
+                -0.136473,
+                -0.138026,
+                -0.139559,
+                -0.142583,
+                -0.14557,
+                -0.163521,
+                -1.79342
+              ],
+              [
+                3.45984,
+                0.513657,
+                0.178492,
+                0.0848968,
+                3.40715e-09,
+                -0.0370133,
+                -0.068272,
+                -0.0937374,
+                -0.113187,
+                -0.126139,
+                -0.133651,
+                -0.138374,
+                -0.141859,
+                -0.144722,
+                -0.147227,
+                -0.149505,
+                -0.151627,
+                -0.153637,
+                -0.155561,
+                -0.15742,
+                -0.159225,
+                -0.160988,
+                -0.162716,
+                -0.164414,
+                -0.166087,
+                -0.169376,
+                -0.172612,
+                -0.188496,
+                -1.82236
+              ],
+              [
+                3.46392,
+                0.534407,
+                0.188308,
+                0.0904233,
+                3.40716e-09,
+                -0.0403044,
+                -0.0750861,
+                -0.104289,
+                -0.127721,
+                -0.144762,
+                -0.155301,
+                -0.161648,
+                -0.166042,
+                -0.169494,
+                -0.172425,
+                -0.175036,
+                -0.177433,
+                -0.179678,
+                -0.18181,
+                -0.183856,
+                -0.185833,
+                -0.187756,
+                -0.189632,
+                -0.191471,
+                -0.193279,
+                -0.196819,
+                -0.200289,
+                -0.214722,
+                -1.85176
+              ],
+              [
+                3.47195,
+                0.571244,
+                0.205573,
+                0.100093,
+                3.40729e-09,
+                -0.0460376,
+                -0.0870168,
+                -0.122858,
+                -0.153417,
+                -0.178247,
+                -0.196315,
+                -0.207648,
+                -0.214776,
+                -0.219848,
+                -0.223867,
+                -0.227279,
+                -0.230307,
+                -0.233074,
+                -0.235653,
+                -0.238092,
+                -0.240422,
+                -0.242665,
+                -0.244839,
+                -0.246954,
+                -0.249021,
+                -0.253041,
+                -0.256949,
+                -0.270356,
+                -1.91151
+              ],
+              [
+                3.4795,
+                0.601608,
+                0.220087,
+                0.10817,
+                3.40805e-09,
+                -0.0508032,
+                -0.0969893,
+                -0.138467,
+                -0.175111,
+                -0.206646,
+                -0.232292,
+                -0.250716,
+                -0.262409,
+                -0.270062,
+                -0.275659,
+                -0.280145,
+                -0.283967,
+                -0.287359,
+                -0.290452,
+                -0.293328,
+                -0.296039,
+                -0.298621,
+                -0.301101,
+                -0.303497,
+                -0.305824,
+                -0.310313,
+                -0.314639,
+                -0.328666,
+                -1.97191
+              ],
+              [
+                3.49571,
+                0.662834,
+                0.250961,
+                0.125192,
+                3.44803e-09,
+                -0.0607958,
+                -0.118078,
+                -0.171751,
+                -0.221719,
+                -0.267862,
+                -0.309986,
+                -0.347674,
+                -0.379905,
+                -0.4049,
+                -0.422128,
+                -0.433756,
+                -0.442165,
+                -0.448745,
+                -0.454211,
+                -0.45895,
+                -0.463185,
+                -0.467053,
+                -0.470644,
+                -0.474019,
+                -0.477222,
+                -0.483231,
+                -0.488849,
+                -0.505828,
+                -2.15291
+              ],
+              [
+                3.50664,
+                0.709347,
+                0.2755,
+                0.138594,
+                4.30548e-09,
+                -0.068706,
+                -0.135083,
+                -0.199065,
+                -0.260585,
+                -0.319577,
+                -0.375968,
+                -0.429676,
+                -0.480588,
+                -0.528525,
+                -0.573139,
+                -0.613677,
+                -0.648658,
+                -0.676305,
+                -0.696502,
+                -0.711136,
+                -0.72222,
+                -0.73108,
+                -0.738491,
+                -0.744908,
+                -0.750613,
+                -0.760552,
+                -0.769177,
+                -0.792229,
+                -2.44411
+              ]
+            ]
+          },
+          "is_inverting": "true",
+          "is_needed": "true",
+          "miller_cap_fall": 0.000348311,
+          "miller_cap_rise": 0.000496396,
+          "output_voltage_fall": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.0188611,
+                  0.0318796,
+                  0.044043,
+                  0.0569059,
+                  0.0757721
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.0897381,
+                  0.1043462,
+                  0.1162468,
+                  0.1289493,
+                  0.1479238
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              }
+            ]
+          },
+          "output_voltage_rise": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.2212066,
+                  0.3922046,
+                  0.5604918,
+                  0.7298515,
+                  1.0025618
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.2807125,
+                  0.4518736,
+                  0.6202133,
+                  0.7889235,
+                  1.0629131
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              }
+            ]
+          },
+          "propagated_noise_high": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.08996
+                ],
+                "index_2": [
+                  1.73799
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.8789403,
+                  0.948757,
+                  1.3882509,
+                  1.6257711,
+                  1.7779752
+                ],
+                "values": [
+                  1.22801,
+                  1.00482,
+                  0.85603,
+                  1.00482,
+                  1.22801
+                ]
+              },
+              {
+                "index_1": [
+                  1.16782
+                ],
+                "index_2": [
+                  1.00109
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.5087487,
+                  0.5546227,
+                  0.8426045,
+                  1.017207,
+                  1.1510287
+                ],
+                "values": [
+                  1.21577,
+                  0.985238,
+                  0.831547,
+                  0.985238,
+                  1.21577
+                ]
+              },
+              {
+                "index_1": [
+                  1.23793
+                ],
+                "index_2": [
+                  0.669621
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.3416495,
+                  0.3760367,
+                  0.6090766,
+                  0.7316724,
+                  0.8667641
+                ],
+                "values": [
+                  1.2029,
+                  0.964638,
+                  0.805798,
+                  0.964638,
+                  1.2029
+                ]
+              },
+              {
+                "index_1": [
+                  1.08996
+                ],
+                "index_2": [
+                  0.868996
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.4439406,
+                  0.4812696,
+                  0.7216586,
+                  0.8449105,
+                  0.9707217
+                ],
+                "values": [
+                  1.43056,
+                  1.32889,
+                  1.26112,
+                  1.32889,
+                  1.43056
+                ]
+              },
+              {
+                "index_1": [
+                  1.16782
+                ],
+                "index_2": [
+                  0.500547
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.2579428,
+                  0.2828501,
+                  0.4154019,
+                  0.5395058,
+                  0.6556343
+                ],
+                "values": [
+                  1.4296,
+                  1.32736,
+                  1.25919,
+                  1.32736,
+                  1.4296
+                ]
+              },
+              {
+                "index_1": [
+                  1.23793
+                ],
+                "index_2": [
+                  0.33481
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.1736594,
+                  0.1919244,
+                  0.2940812,
+                  0.3954723,
+                  0.5101614
+                ],
+                "values": [
+                  1.4289,
+                  1.32625,
+                  1.25781,
+                  1.32625,
+                  1.4289
+                ]
+              },
+              {
+                "index_1": [
+                  1.16782
+                ],
+                "index_2": [
+                  1.50164
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.7559198,
+                  0.8173084,
+                  1.2804678,
+                  1.4998399,
+                  1.6854789
+                ],
+                "values": [
+                  1.0192,
+                  0.670725,
+                  0.438407,
+                  0.670725,
+                  1.0192
+                ]
+              }
+            ]
+          },
+          "propagated_noise_low": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.1764
+                ],
+                "index_2": [
+                  39.0454
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  19.7887353,
+                  20.9007689,
+                  24.1128183,
+                  26.4117274,
+                  27.2631413
+                ],
+                "values": [
+                  0.460024,
+                  0.736039,
+                  0.920048,
+                  0.736039,
+                  0.460024
+                ]
+              },
+              {
+                "index_1": [
+                  1.22943
+                ],
+                "index_2": [
+                  22.6206
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  11.5116014,
+                  12.2558952,
+                  14.5370975,
+                  15.8892508,
+                  16.397867
+                ],
+                "values": [
+                  0.474483,
+                  0.759173,
+                  0.948967,
+                  0.759173,
+                  0.474483
+                ]
+              },
+              {
+                "index_1": [
+                  1.27738
+                ],
+                "index_2": [
+                  15.0283
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  7.6770791,
+                  8.2448607,
+                  9.8980398,
+                  10.8650679,
+                  11.2113226
+                ],
+                "values": [
+                  0.483608,
+                  0.773772,
+                  0.967215,
+                  0.773772,
+                  0.483608
+                ]
+              },
+              {
+                "index_1": [
+                  1.1764
+                ],
+                "index_2": [
+                  19.5227
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  10.0192045,
+                  10.6195812,
+                  12.1268304,
+                  13.409044,
+                  13.7951286
+                ],
+                "values": [
+                  0.209082,
+                  0.334531,
+                  0.418164,
+                  0.334531,
+                  0.209082
+                ]
+              },
+              {
+                "index_1": [
+                  1.22943
+                ],
+                "index_2": [
+                  11.3103
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  5.8472106,
+                  6.249595,
+                  7.4902777,
+                  8.0604818,
+                  8.2912014
+                ],
+                "values": [
+                  0.214974,
+                  0.343959,
+                  0.429949,
+                  0.343959,
+                  0.214974
+                ]
+              },
+              {
+                "index_1": [
+                  1.27738
+                ],
+                "index_2": [
+                  7.51417
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  3.9141442,
+                  4.2131746,
+                  5.1055423,
+                  5.5088939,
+                  5.6659716
+                ],
+                "values": [
+                  0.218035,
+                  0.348855,
+                  0.436069,
+                  0.348855,
+                  0.218035
+                ]
+              },
+              {
+                "index_1": [
+                  1.22943
+                ],
+                "index_2": [
+                  33.9309
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  17.0636101,
+                  17.9292603,
+                  21.3137652,
+                  23.7590554,
+                  24.4478063
+                ],
+                "values": [
+                  0.675146,
+                  1.08023,
+                  1.35029,
+                  1.08023,
+                  0.675146
+                ]
+              }
+            ]
+          },
+          "stage_type": "both"
+        },
+        "ccsn_last_stage": {
+          "dc_current ccsn_dc": {
+            "index_1": [
+              -1.6,
+              -0.8,
+              -0.32,
+              -0.16,
+              0,
+              0.08,
+              0.16,
+              0.24,
+              0.32,
+              0.4,
+              0.48,
+              0.56,
+              0.64,
+              0.72,
+              0.8,
+              0.88,
+              0.96,
+              1.04,
+              1.12,
+              1.2,
+              1.28,
+              1.36,
+              1.44,
+              1.52,
+              1.6,
+              1.76,
+              1.92,
+              2.4,
+              3.2
+            ],
+            "index_2": [
+              -1.6,
+              -0.8,
+              -0.32,
+              -0.16,
+              0,
+              0.08,
+              0.16,
+              0.24,
+              0.32,
+              0.4,
+              0.48,
+              0.56,
+              0.64,
+              0.72,
+              0.8,
+              0.88,
+              0.96,
+              1.04,
+              1.12,
+              1.2,
+              1.28,
+              1.36,
+              1.44,
+              1.52,
+              1.6,
+              1.76,
+              1.92,
+              2.4,
+              3.2
+            ],
+            "values": [
+              [
+                4.74924,
+                0.378053,
+                0.355202,
+                0.345261,
+                0.33288,
+                0.325466,
+                0.317126,
+                0.307824,
+                0.297545,
+                0.286278,
+                0.274019,
+                0.260767,
+                0.246521,
+                0.231284,
+                0.215058,
+                0.197849,
+                0.17966,
+                0.1605,
+                0.140377,
+                0.1193,
+                0.0972789,
+                0.0743256,
+                0.0504525,
+                0.0256726,
+                -6.53122e-09,
+                -0.0513605,
+                -0.101502,
+                -0.270139,
+                -2.63865
+              ],
+              [
+                4.60173,
+                0.241163,
+                0.229092,
+                0.224707,
+                0.219874,
+                0.217231,
+                0.21439,
+                0.211296,
+                0.207875,
+                0.204017,
+                0.199555,
+                0.194262,
+                0.187884,
+                0.180224,
+                0.171181,
+                0.160707,
+                0.148775,
+                0.135371,
+                0.120486,
+                0.104114,
+                0.0862538,
+                0.0669061,
+                0.0460756,
+                0.02377,
+                -1.70584e-08,
+                -0.049229,
+                -0.0990406,
+                -0.271735,
+                -2.64308
+              ],
+              [
+                4.50626,
+                0.142323,
+                0.134107,
+                0.131513,
+                0.128774,
+                0.127336,
+                0.125841,
+                0.124279,
+                0.122635,
+                0.120891,
+                0.119021,
+                0.116989,
+                0.114737,
+                0.112179,
+                0.10916,
+                0.105408,
+                0.100524,
+                0.0941539,
+                0.0861149,
+                0.0763263,
+                0.0647447,
+                0.0513407,
+                0.0360922,
+                0.0189826,
+                -1.60172e-09,
+                -0.0416765,
+                -0.0866098,
+                -0.25284,
+                -2.64009
+              ],
+              [
+                4.48206,
+                0.114222,
+                0.102782,
+                0.100675,
+                0.0984782,
+                0.097337,
+                0.0961614,
+                0.0949451,
+                0.0936807,
+                0.0923581,
+                0.0909642,
+                0.0894808,
+                0.0878823,
+                0.0861301,
+                0.0841622,
+                0.0818681,
+                0.079028,
+                0.0752324,
+                0.0700073,
+                0.0630705,
+                0.054304,
+                0.043649,
+                0.0310662,
+                0.0165249,
+                -1.60155e-09,
+                -0.0375929,
+                -0.0796594,
+                -0.241174,
+                -2.63765
+              ],
+              [
+                4.46231,
+                0.101087,
+                0.0733258,
+                0.071666,
+                0.0699556,
+                0.0690768,
+                0.0681789,
+                0.0672589,
+                0.0663128,
+                0.0653357,
+                0.0643213,
+                0.063261,
+                0.0621436,
+                0.0609528,
+                0.0596648,
+                0.0582409,
+                0.0566122,
+                0.0546293,
+                0.0519391,
+                0.0479674,
+                0.0422844,
+                0.0347129,
+                0.0251741,
+                0.0136169,
+                -1.60155e-09,
+                -0.0326417,
+                -0.0711056,
+                -0.226217,
+                -2.63443
+              ],
+              [
+                4.45433,
+                0.101037,
+                0.0596989,
+                0.058249,
+                0.0567642,
+                0.0560054,
+                0.0552334,
+                0.054446,
+                0.0536406,
+                0.0528138,
+                0.0519614,
+                0.0510779,
+                0.0501558,
+                0.049185,
+                0.048151,
+                0.0470315,
+                0.045789,
+                0.0443498,
+                0.0425315,
+                0.0398777,
+                0.0357623,
+                0.0298242,
+                0.0219275,
+                0.0120033,
+                -1.6015e-09,
+                -0.0298435,
+                -0.0662173,
+                -0.217409,
+                -2.63249
+              ],
+              [
+                4.4478,
+                0.104768,
+                0.0470381,
+                0.0457896,
+                0.0445198,
+                0.0438745,
+                0.0432207,
+                0.042557,
+                0.0418817,
+                0.0411926,
+                0.0404869,
+                0.0397613,
+                0.039011,
+                0.03823,
+                0.0374096,
+                0.0365373,
+                0.0355929,
+                0.034541,
+                0.0333019,
+                0.0316435,
+                0.0289865,
+                0.0247011,
+                0.0185056,
+                0.0102935,
+                -1.602e-09,
+                -0.02684,
+                -0.0609288,
+                -0.207674,
+                -2.63032
+              ],
+              [
+                4.44281,
+                0.111992,
+                0.0355288,
+                0.0344691,
+                0.0334046,
+                0.0328667,
+                0.0323241,
+                0.031776,
+                0.0312213,
+                0.0306587,
+                0.0300864,
+                0.0295025,
+                0.0289042,
+                0.028288,
+                0.0276491,
+                0.0269806,
+                0.0262721,
+                0.0255066,
+                0.0246511,
+                0.0236184,
+                0.0221039,
+                0.0194032,
+                0.0149379,
+                0.0084997,
+                -1.61376e-09,
+                -0.0236446,
+                -0.0552557,
+                -0.196994,
+                -2.62792
+              ],
+              [
+                4.4395,
+                0.12256,
+                0.0253946,
+                0.0244705,
+                0.0236024,
+                0.0231665,
+                0.022729,
+                0.0222893,
+                0.0218467,
+                0.0214006,
+                0.0209501,
+                0.0204939,
+                0.0200307,
+                0.0195586,
+                0.0190751,
+                0.0185767,
+                0.0180584,
+                0.0175124,
+                0.0169252,
+                0.0162673,
+                0.0154413,
+                0.0140438,
+                0.0112704,
+                0.00663946,
+                -1.81533e-09,
+                -0.0202765,
+                -0.0492227,
+                -0.185361,
+                -2.62526
+              ],
+              [
+                4.43804,
+                0.136394,
+                0.0170283,
+                0.0159772,
+                0.0152974,
+                0.014959,
+                0.0146211,
+                0.0142835,
+                0.0139458,
+                0.0136078,
+                0.0132689,
+                0.0129287,
+                0.0125865,
+                0.0122414,
+                0.0118922,
+                0.0115375,
+                0.0111751,
+                0.0108017,
+                0.0104122,
+                0.00999709,
+                0.0095315,
+                0.00890547,
+                0.00759174,
+                0.00474305,
+                -5.23043e-09,
+                -0.0167626,
+                -0.0428665,
+                -0.172787,
+                -2.62235
+              ],
+              [
+                4.43856,
+                0.153437,
+                0.0113964,
+                0.00918438,
+                0.00867819,
+                0.00843394,
+                0.00819172,
+                0.00795144,
+                0.00771301,
+                0.00747631,
+                0.00724118,
+                0.00700744,
+                0.00677484,
+                0.00654307,
+                0.00631174,
+                0.00608028,
+                0.00584796,
+                0.0056137,
+                0.00537586,
+                0.00513166,
+                0.00487534,
+                0.00458808,
+                0.00414514,
+                0.00288067,
+                -6.41789e-08,
+                -0.0131409,
+                -0.0362392,
+                -0.159315,
+                -2.61918
+              ],
+              [
+                4.4412,
+                0.17366,
+                0.0105723,
+                0.0043557,
+                0.00394744,
+                0.00379514,
+                0.00364587,
+                0.00349949,
+                0.00335598,
+                0.0032153,
+                0.00307743,
+                0.0029423,
+                0.00280986,
+                0.00268001,
+                0.00255267,
+                0.00242767,
+                0.00230482,
+                0.00218387,
+                0.00206439,
+                0.00194579,
+                0.00182692,
+                0.00170504,
+                0.00156723,
+                0.00125998,
+                -9.90339e-07,
+                -0.00947407,
+                -0.0294222,
+                -0.14506,
+                -2.61575
+              ],
+              [
+                4.44612,
+                0.197037,
+                0.0162672,
+                0.00194852,
+                0.00125324,
+                0.00118262,
+                0.00111655,
+                0.00105308,
+                0.000992125,
+                0.000933617,
+                0.000877494,
+                0.000823695,
+                0.000772152,
+                0.000722793,
+                0.000675541,
+                0.000630312,
+                0.000587011,
+                0.00054553,
+                0.000505743,
+                0.000467487,
+                0.000430531,
+                0.000394457,
+                0.000358005,
+                0.000308742,
+                -1.41535e-05,
+                -0.00590997,
+                -0.022576,
+                -0.13027,
+                -2.61214
+              ],
+              [
+                4.45335,
+                0.223277,
+                0.0278754,
+                0.00280487,
+                0.000267757,
+                0.000222192,
+                0.00019898,
+                0.000177333,
+                0.000156692,
+                0.000136929,
+                0.000117969,
+                9.97571e-05,
+                8.22429e-05,
+                6.53799e-05,
+                4.91241e-05,
+                3.34326e-05,
+                1.82634e-05,
+                3.5744e-06,
+                -1.06778e-05,
+                -2.45401e-05,
+                -3.8068e-05,
+                -5.13407e-05,
+                -6.45358e-05,
+                -7.88943e-05,
+                -0.000145311,
+                -0.00295858,
+                -0.0161337,
+                -0.115456,
+                -2.60864
+              ],
+              [
+                4.46231,
+                0.251379,
+                0.0433177,
+                0.00793406,
+                5.06243e-05,
+                -0.000146085,
+                -0.000191506,
+                -0.000231699,
+                -0.00027091,
+                -0.000309794,
+                -0.000348566,
+                -0.000387317,
+                -0.000426099,
+                -0.000464941,
+                -0.000503861,
+                -0.000542875,
+                -0.000581992,
+                -0.000621222,
+                -0.000660574,
+                -0.000700055,
+                -0.000739677,
+                -0.000779456,
+                -0.000819427,
+                -0.000859794,
+                -0.00091032,
+                -0.00186689,
+                -0.0111863,
+                -0.101753,
+                -2.60637
+              ],
+              [
+                4.47202,
+                0.280352,
+                0.0608004,
+                0.0168023,
+                9.8335e-06,
+                -0.0010141,
+                -0.00121639,
+                -0.00137042,
+                -0.00151615,
+                -0.00165898,
+                -0.00180058,
+                -0.00194167,
+                -0.00208259,
+                -0.00222355,
+                -0.00236467,
+                -0.00250602,
+                -0.00264765,
+                -0.00278959,
+                -0.00293186,
+                -0.00307448,
+                -0.00321747,
+                -0.00336085,
+                -0.00350465,
+                -0.00364894,
+                -0.00379557,
+                -0.0042687,
+                -0.0098442,
+                -0.0914543,
+                -2.6079
+              ],
+              [
+                4.48199,
+                0.30977,
+                0.0791723,
+                0.0272672,
+                1.75994e-06,
+                -0.00382511,
+                -0.00477196,
+                -0.00524657,
+                -0.00564873,
+                -0.00602622,
+                -0.00639183,
+                -0.00675071,
+                -0.00710539,
+                -0.00745731,
+                -0.00780733,
+                -0.00815602,
+                -0.00850375,
+                -0.00885079,
+                -0.00919735,
+                -0.00954357,
+                -0.00988958,
+                -0.0102355,
+                -0.0105814,
+                -0.0109275,
+                -0.0112742,
+                -0.0120029,
+                -0.014953,
+                -0.0875172,
+                -2.61623
+              ],
+              [
+                4.49214,
+                0.339433,
+                0.097586,
+                0.0378302,
+                2.24042e-07,
+                -0.00870242,
+                -0.0122277,
+                -0.0135509,
+                -0.014426,
+                -0.0151738,
+                -0.015866,
+                -0.0165277,
+                -0.0171705,
+                -0.0178006,
+                -0.0184216,
+                -0.019036,
+                -0.0196452,
+                -0.0202504,
+                -0.0208524,
+                -0.0214518,
+                -0.0220491,
+                -0.0226446,
+                -0.0232388,
+                -0.0238319,
+                -0.0244245,
+                -0.0256164,
+                -0.0274519,
+                -0.0906818,
+                -2.63138
+              ],
+              [
+                4.50241,
+                0.369194,
+                0.115461,
+                0.0479892,
+                1.77112e-08,
+                -0.0142468,
+                -0.0222063,
+                -0.025641,
+                -0.0273921,
+                -0.0286783,
+                -0.0297863,
+                -0.0308046,
+                -0.03177,
+                -0.0327009,
+                -0.0336077,
+                -0.0344968,
+                -0.0353725,
+                -0.0362377,
+                -0.0370946,
+                -0.0379446,
+                -0.0387889,
+                -0.0396286,
+                -0.0404644,
+                -0.0412972,
+                -0.0421276,
+                -0.0437859,
+                -0.0455773,
+                -0.0994287,
+                -2.65113
+              ],
+              [
+                4.5128,
+                0.39892,
+                0.132491,
+                0.05761,
+                2.41842e-09,
+                -0.0197298,
+                -0.0327502,
+                -0.0398264,
+                -0.0432283,
+                -0.0453307,
+                -0.0469756,
+                -0.0484104,
+                -0.0497286,
+                -0.0509738,
+                -0.0521694,
+                -0.0533295,
+                -0.054463,
+                -0.0555759,
+                -0.0566724,
+                -0.0577557,
+                -0.058828,
+                -0.0598914,
+                -0.0609472,
+                -0.0619968,
+                -0.0630416,
+                -0.0651214,
+                -0.0672237,
+                -0.112343,
+                -2.67379
+              ],
+              [
+                4.5233,
+                0.428479,
+                0.148562,
+                0.0666621,
+                1.64566e-09,
+                -0.0249479,
+                -0.0430206,
+                -0.0545931,
+                -0.0607397,
+                -0.0641192,
+                -0.066486,
+                -0.0684233,
+                -0.070137,
+                -0.0717167,
+                -0.0732084,
+                -0.0746383,
+                -0.0760227,
+                -0.0773724,
+                -0.0786947,
+                -0.0799951,
+                -0.0812774,
+                -0.082545,
+                -0.0838001,
+                -0.0850449,
+                -0.0862813,
+                -0.0887362,
+                -0.0911849,
+                -0.128594,
+                -2.69847
+              ],
+              [
+                4.53389,
+                0.457743,
+                0.163659,
+                0.0751483,
+                1.62095e-09,
+                -0.0298546,
+                -0.0527862,
+                -0.0690475,
+                -0.0789176,
+                -0.0842522,
+                -0.0876179,
+                -0.0901807,
+                -0.0923507,
+                -0.0942961,
+                -0.0960986,
+                -0.0978031,
+                -0.0994368,
+                -0.101017,
+                -0.102556,
+                -0.104062,
+                -0.105541,
+                -0.106997,
+                -0.108435,
+                -0.109858,
+                -0.111268,
+                -0.11406,
+                -0.11683,
+                -0.147659,
+                -2.7247
+              ],
+              [
+                4.54456,
+                0.486576,
+                0.1778,
+                0.0830841,
+                1.63843e-09,
+                -0.0344461,
+                -0.0619895,
+                -0.0828384,
+                -0.0969596,
+                -0.10506,
+                -0.10982,
+                -0.113179,
+                -0.115889,
+                -0.118243,
+                -0.120379,
+                -0.122369,
+                -0.124256,
+                -0.126066,
+                -0.127816,
+                -0.12952,
+                -0.131186,
+                -0.132821,
+                -0.134431,
+                -0.136018,
+                -0.137588,
+                -0.140687,
+                -0.143751,
+                -0.169149,
+                -2.75214
+              ],
+              [
+                4.55531,
+                0.514833,
+                0.191023,
+                0.0904912,
+                1.67068e-09,
+                -0.0387313,
+                -0.0706244,
+                -0.0958638,
+                -0.114352,
+                -0.125951,
+                -0.132627,
+                -0.137016,
+                -0.140375,
+                -0.143195,
+                -0.145696,
+                -0.147989,
+                -0.150138,
+                -0.15218,
+                -0.154141,
+                -0.15604,
+                -0.157887,
+                -0.159694,
+                -0.161466,
+                -0.163209,
+                -0.164928,
+                -0.168312,
+                -0.171646,
+                -0.192748,
+                -2.78056
+              ],
+              [
+                4.56611,
+                0.542354,
+                0.203367,
+                0.0973933,
+                1.72235e-09,
+                -0.042723,
+                -0.0787035,
+                -0.108107,
+                -0.130854,
+                -0.146418,
+                -0.155624,
+                -0.161353,
+                -0.165504,
+                -0.168865,
+                -0.171771,
+                -0.17439,
+                -0.176813,
+                -0.179094,
+                -0.181269,
+                -0.183362,
+                -0.185388,
+                -0.187362,
+                -0.189291,
+                -0.191184,
+                -0.193045,
+                -0.196697,
+                -0.200283,
+                -0.218183,
+                -2.80975
+              ],
+              [
+                4.58781,
+                0.594472,
+                0.225588,
+                0.109783,
+                1.91528e-09,
+                -0.0498845,
+                -0.0932781,
+                -0.130312,
+                -0.16097,
+                -0.184722,
+                -0.200696,
+                -0.210367,
+                -0.216716,
+                -0.221459,
+                -0.225342,
+                -0.228709,
+                -0.231738,
+                -0.234531,
+                -0.237152,
+                -0.239641,
+                -0.242028,
+                -0.244331,
+                -0.246568,
+                -0.248748,
+                -0.250881,
+                -0.255037,
+                -0.259087,
+                -0.273619,
+                -2.86989
+              ],
+              [
+                4.60945,
+                0.64139,
+                0.244799,
+                0.120453,
+                2.29372e-09,
+                -0.0560488,
+                -0.105905,
+                -0.149669,
+                -0.187357,
+                -0.218657,
+                -0.242465,
+                -0.258074,
+                -0.267829,
+                -0.274558,
+                -0.279734,
+                -0.284029,
+                -0.287774,
+                -0.291149,
+                -0.294259,
+                -0.297171,
+                -0.299933,
+                -0.302574,
+                -0.305119,
+                -0.307583,
+                -0.309982,
+                -0.31462,
+                -0.319103,
+                -0.333375,
+                -2.93158
+              ],
+              [
+                4.66858,
+                0.742739,
+                0.287453,
+                0.143987,
+                5.56154e-09,
+                -0.0696525,
+                -0.134075,
+                -0.193302,
+                -0.247357,
+                -0.296197,
+                -0.339576,
+                -0.376646,
+                -0.405566,
+                -0.425416,
+                -0.438544,
+                -0.447851,
+                -0.455034,
+                -0.460947,
+                -0.466042,
+                -0.470573,
+                -0.474698,
+                -0.478517,
+                -0.482098,
+                -0.48549,
+                -0.488729,
+                -0.494845,
+                -0.500602,
+                -0.51773,
+                -3.1196
+              ],
+              [
+                4.71432,
+                0.820176,
+                0.323496,
+                0.163677,
+                2.4958e-08,
+                -0.0811577,
+                -0.158468,
+                -0.231926,
+                -0.301528,
+                -0.367267,
+                -0.429123,
+                -0.487041,
+                -0.540864,
+                -0.590173,
+                -0.633926,
+                -0.670151,
+                -0.697285,
+                -0.716499,
+                -0.730443,
+                -0.741168,
+                -0.749878,
+                -0.757258,
+                -0.763712,
+                -0.769493,
+                -0.774765,
+                -0.784203,
+                -0.7926,
+                -0.815251,
+                -3.42206
+              ]
+            ]
+          },
+          "is_inverting": "true",
+          "is_needed": "true",
+          "miller_cap_fall": 0.00083195,
+          "miller_cap_rise": 0.00060997,
+          "output_voltage_fall": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.0036196
+                ],
+                "index_3": [
+                  0.0188886,
+                  0.0275666,
+                  0.0364767,
+                  0.0462764,
+                  0.0599664
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              },
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.0108588
+                ],
+                "index_3": [
+                  0.0251008,
+                  0.046266,
+                  0.0685657,
+                  0.0924241,
+                  0.1251903
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.0036196
+                ],
+                "index_3": [
+                  0.0874046,
+                  0.0991117,
+                  0.1082227,
+                  0.1179826,
+                  0.131726
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.0108588
+                ],
+                "index_3": [
+                  0.0968107,
+                  0.1183402,
+                  0.1405974,
+                  0.1641895,
+                  0.1970766
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              }
+            ]
+          },
+          "output_voltage_rise": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.00131167
+                ],
+                "index_3": [
+                  0.0299261,
+                  0.0428596,
+                  0.0563337,
+                  0.0713974,
+                  0.0958517
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              },
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.003935
+                ],
+                "index_3": [
+                  0.0369173,
+                  0.0623484,
+                  0.0896477,
+                  0.1203759,
+                  0.1664484
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.00131167
+                ],
+                "index_3": [
+                  0.1015425,
+                  0.1143335,
+                  0.1279034,
+                  0.1433405,
+                  0.1676422
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.003935
+                ],
+                "index_3": [
+                  0.1089449,
+                  0.1344913,
+                  0.1618047,
+                  0.19188,
+                  0.2380487
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              }
+            ]
+          },
+          "propagated_noise_high": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.1041
+                ],
+                "index_2": [
+                  1.3941
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.7015108,
+                  0.7565083,
+                  0.9661298,
+                  1.1641274,
+                  1.237881
+                ],
+                "values": [
+                  1.15822,
+                  0.893155,
+                  0.716444,
+                  0.893155,
+                  1.15822
+                ]
+              },
+              {
+                "index_1": [
+                  1.18038
+                ],
+                "index_2": [
+                  0.806698
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.4086029,
+                  0.4460751,
+                  0.5879434,
+                  0.7099608,
+                  0.7608041
+                ],
+                "values": [
+                  1.14651,
+                  0.87442,
+                  0.693025,
+                  0.87442,
+                  1.14651
+                ]
+              },
+              {
+                "index_1": [
+                  1.2488
+                ],
+                "index_2": [
+                  0.541089
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.2757807,
+                  0.304107,
+                  0.4201288,
+                  0.4973579,
+                  0.534981
+                ],
+                "values": [
+                  1.13865,
+                  0.861846,
+                  0.677307,
+                  0.861846,
+                  1.13865
+                ]
+              },
+              {
+                "index_1": [
+                  1.1041
+                ],
+                "index_2": [
+                  0.697052
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.3595157,
+                  0.392377,
+                  0.5223025,
+                  0.5963479,
+                  0.6374801
+                ],
+                "values": [
+                  1.3726,
+                  1.23616,
+                  1.14519,
+                  1.23616,
+                  1.3726
+                ]
+              },
+              {
+                "index_1": [
+                  1.2488
+                ],
+                "index_2": [
+                  0.270545
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.1433916,
+                  0.1606922,
+                  0.2180435,
+                  0.2574121,
+                  0.2805347
+                ],
+                "values": [
+                  1.36789,
+                  1.22862,
+                  1.13577,
+                  1.22862,
+                  1.36789
+                ]
+              },
+              {
+                "index_1": [
+                  1.18038
+                ],
+                "index_2": [
+                  0.806698
+                ],
+                "index_3": [
+                  0.0108588
+                ],
+                "index_4": [
+                  0.4118444,
+                  0.4510615,
+                  0.5869026,
+                  0.726376,
+                  0.7915965
+                ],
+                "values": [
+                  1.40453,
+                  1.28725,
+                  1.20907,
+                  1.28725,
+                  1.40453
+                ]
+              },
+              {
+                "index_1": [
+                  1.2488
+                ],
+                "index_2": [
+                  0.541089
+                ],
+                "index_3": [
+                  0.0108588
+                ],
+                "index_4": [
+                  0.27817,
+                  0.3088227,
+                  0.4224133,
+                  0.5083041,
+                  0.5594671
+                ],
+                "values": [
+                  1.40136,
+                  1.28218,
+                  1.20272,
+                  1.28218,
+                  1.40136
+                ]
+              }
+            ]
+          },
+          "propagated_noise_low": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.18421
+                ],
+                "index_2": [
+                  2.57649
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  1.2980511,
+                  1.3902632,
+                  1.7139063,
+                  1.8850914,
+                  1.9571878
+                ],
+                "values": [
+                  0.493614,
+                  0.789783,
+                  0.987229,
+                  0.789783,
+                  0.493614
+                ]
+              },
+              {
+                "index_1": [
+                  1.2548
+                ],
+                "index_2": [
+                  1.51551
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  0.7688288,
+                  0.8329439,
+                  1.0255142,
+                  1.1556873,
+                  1.2031148
+                ],
+                "values": [
+                  0.513328,
+                  0.821325,
+                  1.02666,
+                  0.821325,
+                  0.513328
+                ]
+              },
+              {
+                "index_1": [
+                  1.31575
+                ],
+                "index_2": [
+                  1.03273
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  0.5268636,
+                  0.5757628,
+                  0.7140312,
+                  0.8148045,
+                  0.8488782
+                ],
+                "values": [
+                  0.527526,
+                  0.844041,
+                  1.05505,
+                  0.844041,
+                  0.527526
+                ]
+              },
+              {
+                "index_1": [
+                  1.18421
+                ],
+                "index_2": [
+                  1.28824
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  0.6651608,
+                  0.7187977,
+                  0.8801684,
+                  0.9602191,
+                  0.9952697
+                ],
+                "values": [
+                  0.266452,
+                  0.426324,
+                  0.532905,
+                  0.426324,
+                  0.266452
+                ]
+              },
+              {
+                "index_1": [
+                  1.31575
+                ],
+                "index_2": [
+                  0.516364
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  0.2740289,
+                  0.3038656,
+                  0.384301,
+                  0.4152739,
+                  0.4322568
+                ],
+                "values": [
+                  0.280207,
+                  0.448332,
+                  0.560414,
+                  0.448332,
+                  0.280207
+                ]
+              },
+              {
+                "index_1": [
+                  1.2548
+                ],
+                "index_2": [
+                  1.51551
+                ],
+                "index_3": [
+                  0.003935
+                ],
+                "index_4": [
+                  0.7727273,
+                  0.8401517,
+                  1.0554991,
+                  1.1717085,
+                  1.2196482
+                ],
+                "values": [
+                  0.278676,
+                  0.445882,
+                  0.557353,
+                  0.445882,
+                  0.278676
+                ]
+              },
+              {
+                "index_1": [
+                  1.31575
+                ],
+                "index_2": [
+                  1.03273
+                ],
+                "index_3": [
+                  0.003935
+                ],
+                "index_4": [
+                  0.5299942,
+                  0.5812526,
+                  0.7233189,
+                  0.8246491,
+                  0.8596153
+                ],
+                "values": [
+                  0.282969,
+                  0.452751,
+                  0.565939,
+                  0.452751,
+                  0.282969
+                ]
+              }
+            ]
+          },
+          "stage_type": "both"
+        },
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00144888,
+            0.00419848,
+            0.0121662,
+            0.0352545,
+            0.102159,
+            0.29603
+          ],
+          "values": [
+            [
+              0.8223478,
+              0.8436848,
+              0.8875388,
+              0.9712617,
+              1.1342814,
+              1.4775108,
+              2.3407747
+            ],
+            [
+              0.8243478,
+              0.8463127,
+              0.890104,
+              0.974945,
+              1.137233,
+              1.4804502,
+              2.3440283
+            ],
+            [
+              0.8420858,
+              0.8637602,
+              0.9078044,
+              0.9923709,
+              1.1542745,
+              1.4970202,
+              2.3599867
+            ],
+            [
+              0.9119924,
+              0.9332737,
+              0.9773672,
+              1.0620766,
+              1.2244241,
+              1.5669325,
+              2.4300426
+            ],
+            [
+              1.1284562,
+              1.1504464,
+              1.1946955,
+              1.2791275,
+              1.4411652,
+              1.7835252,
+              2.6471509
+            ],
+            [
+              1.73498,
+              1.7568527,
+              1.8005214,
+              1.8853452,
+              2.0483661,
+              2.3911633,
+              3.2547358
+            ],
+            [
+              3.3229399,
+              3.347751,
+              3.3987781,
+              3.4924392,
+              3.6648411,
+              4.0186148,
+              4.8866835
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00144888,
+            0.00419848,
+            0.0121662,
+            0.0352545,
+            0.102159,
+            0.29603
+          ],
+          "values": [
+            [
+              0.1011318,
+              0.1145592,
+              0.1504911,
+              0.2501472,
+              0.5346122,
+              1.3567252,
+              3.7447809
+            ],
+            [
+              0.110193,
+              0.1235703,
+              0.1596064,
+              0.259301,
+              0.5438913,
+              1.3667721,
+              3.7557144
+            ],
+            [
+              0.1360422,
+              0.1493494,
+              0.1851399,
+              0.2847494,
+              0.5706817,
+              1.3942953,
+              3.774431
+            ],
+            [
+              0.2064783,
+              0.2197167,
+              0.2554027,
+              0.3551412,
+              0.6412452,
+              1.4657087,
+              3.8472567
+            ],
+            [
+              0.356252,
+              0.3704987,
+              0.4072288,
+              0.507438,
+              0.7942897,
+              1.6169354,
+              4.0065427
+            ],
+            [
+              0.6466404,
+              0.6649177,
+              0.7055735,
+              0.8066172,
+              1.0931576,
+              1.9165824,
+              4.2992747
+            ],
+            [
+              1.1963798,
+              1.2257786,
+              1.2825689,
+              1.3965795,
+              1.6816371,
+              2.5072744,
+              4.8863664
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00144888,
+            0.00419848,
+            0.0121662,
+            0.0352545,
+            0.102159,
+            0.29603
+          ],
+          "values": [
+            [
+              0.0833165,
+              0.0930487,
+              0.1202008,
+              0.1787751,
+              0.3176197,
+              0.6698305,
+              1.7512308
+            ],
+            [
+              0.082955,
+              0.094413,
+              0.1192006,
+              0.1772258,
+              0.3148315,
+              0.67096,
+              1.7554475
+            ],
+            [
+              0.0835576,
+              0.094687,
+              0.1186583,
+              0.1774513,
+              0.3177437,
+              0.6727611,
+              1.7485822
+            ],
+            [
+              0.082779,
+              0.0939363,
+              0.1204553,
+              0.1771828,
+              0.3166037,
+              0.6708169,
+              1.750553
+            ],
+            [
+              0.0824925,
+              0.0941069,
+              0.1194016,
+              0.1795635,
+              0.3147502,
+              0.6702832,
+              1.7521692
+            ],
+            [
+              0.0822912,
+              0.0945703,
+              0.119671,
+              0.1802131,
+              0.3161676,
+              0.670709,
+              1.750207
+            ],
+            [
+              0.1033294,
+              0.1146944,
+              0.1411807,
+              0.1995726,
+              0.3348456,
+              0.6860139,
+              1.7546262
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00144888,
+            0.00419848,
+            0.0121662,
+            0.0352545,
+            0.102159,
+            0.29603
+          ],
+          "values": [
+            [
+              0.0355773,
+              0.0508507,
+              0.0958993,
+              0.2297959,
+              0.6161905,
+              1.7406445,
+              4.9696203
+            ],
+            [
+              0.0355662,
+              0.0506561,
+              0.0958057,
+              0.2299826,
+              0.6176252,
+              1.744443,
+              4.9934243
+            ],
+            [
+              0.0356401,
+              0.0509321,
+              0.0957942,
+              0.2301598,
+              0.6191969,
+              1.745725,
+              4.9941828
+            ],
+            [
+              0.0361365,
+              0.0510337,
+              0.0958356,
+              0.2300593,
+              0.6194344,
+              1.7464885,
+              4.995143
+            ],
+            [
+              0.041514,
+              0.0556942,
+              0.0984971,
+              0.2285734,
+              0.6216501,
+              1.7453445,
+              4.987037
+            ],
+            [
+              0.0582818,
+              0.0705733,
+              0.1082533,
+              0.2335449,
+              0.6182806,
+              1.743083,
+              4.9680327
+            ],
+            [
+              0.0986228,
+              0.1136228,
+              0.1470133,
+              0.251916,
+              0.6238451,
+              1.7480051,
+              4.9861946
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "ccsn_first_stage": {
+          "dc_current ccsn_dc": {
+            "index_1": [
+              -1.6,
+              -0.8,
+              -0.32,
+              -0.16,
+              0,
+              0.08,
+              0.16,
+              0.24,
+              0.32,
+              0.4,
+              0.48,
+              0.56,
+              0.64,
+              0.72,
+              0.8,
+              0.88,
+              0.96,
+              1.04,
+              1.12,
+              1.2,
+              1.28,
+              1.36,
+              1.44,
+              1.52,
+              1.6,
+              1.76,
+              1.92,
+              2.4,
+              3.2
+            ],
+            "index_2": [
+              -1.6,
+              -0.8,
+              -0.32,
+              -0.16,
+              0,
+              0.08,
+              0.16,
+              0.24,
+              0.32,
+              0.4,
+              0.48,
+              0.56,
+              0.64,
+              0.72,
+              0.8,
+              0.88,
+              0.96,
+              1.04,
+              1.12,
+              1.2,
+              1.28,
+              1.36,
+              1.44,
+              1.52,
+              1.6,
+              1.76,
+              1.92,
+              2.4,
+              3.2
+            ],
+            "values": [
+              [
+                3.40084,
+                0.0760692,
+                0.0174407,
+                0.0173548,
+                0.0171976,
+                0.0170999,
+                0.0169929,
+                0.016878,
+                0.016756,
+                0.0166272,
+                0.0164915,
+                0.0163481,
+                0.0161961,
+                0.0160336,
+                0.0158575,
+                0.0156624,
+                0.0154362,
+                0.0151392,
+                0.0146251,
+                0.0136471,
+                0.0120826,
+                0.00991528,
+                0.00716078,
+                0.00384556,
+                6.45435e-09,
+                -0.00909502,
+                -0.0197627,
+                -0.0607998,
+                -1.62616
+              ],
+              [
+                3.39968,
+                0.0749087,
+                0.0163013,
+                0.0162848,
+                0.0162667,
+                0.0162568,
+                0.0162461,
+                0.0162341,
+                0.0162198,
+                0.0161994,
+                0.0161616,
+                0.0160957,
+                0.0160004,
+                0.0158782,
+                0.0157301,
+                0.015553,
+                0.0153336,
+                0.01502,
+                0.0144522,
+                0.0134302,
+                0.0118642,
+                0.00973243,
+                0.00703581,
+                0.00378596,
+                -1.32359e-08,
+                -0.00903417,
+                -0.0197619,
+                -0.0617697,
+                -1.62646
+              ],
+              [
+                3.40072,
+                0.07439,
+                0.0153016,
+                0.0152759,
+                0.0152483,
+                0.0152336,
+                0.0152183,
+                0.015202,
+                0.0151848,
+                0.0151662,
+                0.015146,
+                0.0151237,
+                0.0150982,
+                0.0150675,
+                0.0150246,
+                0.0149417,
+                0.0147575,
+                0.0143903,
+                0.0137362,
+                0.0126958,
+                0.011197,
+                0.00919509,
+                0.00666616,
+                0.00360087,
+                -1.89301e-08,
+                -0.00871234,
+                -0.0192329,
+                -0.0613352,
+                -1.62646
+              ],
+              [
+                3.40352,
+                0.0782334,
+                0.0145173,
+                0.0144542,
+                0.014386,
+                0.0143497,
+                0.0143118,
+                0.014272,
+                0.0142301,
+                0.0141858,
+                0.0141385,
+                0.0140878,
+                0.0140325,
+                0.0139713,
+                0.0139014,
+                0.0138161,
+                0.0136891,
+                0.0134262,
+                0.0128895,
+                0.0119794,
+                0.0106236,
+                0.00877122,
+                0.00639117,
+                0.00346854,
+                -1.47296e-08,
+                -0.00849312,
+                -0.0188655,
+                -0.0608742,
+                -1.62641
+              ],
+              [
+                3.4067,
+                0.0936414,
+                0.0126464,
+                0.0125294,
+                0.0124074,
+                0.0123441,
+                0.0122792,
+                0.0122125,
+                0.0121438,
+                0.0120727,
+                0.0119989,
+                0.011922,
+                0.0118412,
+                0.0117556,
+                0.0116638,
+                0.0115634,
+                0.0114495,
+                0.0113078,
+                0.0110717,
+                0.0105474,
+                0.00955879,
+                0.00803219,
+                0.00593581,
+                0.00325817,
+                -1.04577e-08,
+                -0.00817073,
+                -0.0183357,
+                -0.0601818,
+                -1.62632
+              ],
+              [
+                3.40819,
+                0.105906,
+                0.0112855,
+                0.011149,
+                0.0110081,
+                0.0109356,
+                0.0108617,
+                0.0107863,
+                0.010709,
+                0.0106297,
+                0.010548,
+                0.0104636,
+                0.010376,
+                0.0102844,
+                0.0101879,
+                0.0100847,
+                0.00997228,
+                0.00984464,
+                0.0096818,
+                0.00938389,
+                0.00869979,
+                0.00745335,
+                0.00559151,
+                0.00310442,
+                -1.09293e-08,
+                -0.00795323,
+                -0.0179875,
+                -0.0597285,
+                -1.62626
+              ],
+              [
+                3.40963,
+                0.120481,
+                0.00970549,
+                0.00955492,
+                0.00940138,
+                0.00932301,
+                0.00924344,
+                0.00916255,
+                0.0090802,
+                0.00899618,
+                0.00891027,
+                0.00882218,
+                0.0087315,
+                0.00863771,
+                0.0085401,
+                0.00843761,
+                0.00832858,
+                0.00821007,
+                0.00807515,
+                0.0078961,
+                0.00752551,
+                0.0066551,
+                0.00512266,
+                0.00289955,
+                -1.10006e-08,
+                -0.00768312,
+                -0.0175659,
+                -0.0591903,
+                -1.62619
+              ],
+              [
+                3.41105,
+                0.136978,
+                0.00797448,
+                0.00780831,
+                0.0076488,
+                0.00756785,
+                0.007486,
+                0.00740317,
+                0.00731924,
+                0.00723407,
+                0.0071475,
+                0.00705931,
+                0.00696922,
+                0.00687687,
+                0.00678178,
+                0.00668327,
+                0.00658032,
+                0.00647132,
+                0.00635326,
+                0.00621819,
+                0.00602712,
+                0.00556547,
+                0.0044747,
+                0.00261946,
+                -1.08358e-08,
+                -0.00734275,
+                -0.0170517,
+                -0.0585542,
+                -1.6261
+              ],
+              [
+                3.41252,
+                0.155146,
+                0.00622169,
+                0.00598143,
+                0.00582303,
+                0.00574315,
+                0.00566272,
+                0.00558168,
+                0.00549994,
+                0.00541743,
+                0.00533403,
+                0.0052496,
+                0.00516397,
+                0.0050769,
+                0.00498809,
+                0.00489714,
+                0.00480345,
+                0.00470615,
+                0.00460378,
+                0.00449345,
+                0.00436624,
+                0.0041656,
+                0.0035835,
+                0.00222776,
+                -1.12967e-08,
+                -0.00690535,
+                -0.0164183,
+                -0.0578047,
+                -1.626
+              ],
+              [
+                3.41408,
+                0.174812,
+                0.00481022,
+                0.0041662,
+                0.00401632,
+                0.00394191,
+                0.00386734,
+                0.00379257,
+                0.00371758,
+                0.00364231,
+                0.0035667,
+                0.00349069,
+                0.00341417,
+                0.00333702,
+                0.00325909,
+                0.00318015,
+                0.0030999,
+                0.00301793,
+                0.00293354,
+                0.00284561,
+                0.00275157,
+                0.00264159,
+                0.00242917,
+                0.00168079,
+                -1.66506e-08,
+                -0.0063283,
+                -0.0156267,
+                -0.0569229,
+                -1.62588
+              ],
+              [
+                3.41584,
+                0.195855,
+                0.00483048,
+                0.00250215,
+                0.00235839,
+                0.00229549,
+                0.00223292,
+                0.00217066,
+                0.00210869,
+                0.00204703,
+                0.00198564,
+                0.0019245,
+                0.00186359,
+                0.00180287,
+                0.00174227,
+                0.00168172,
+                0.0016211,
+                0.00156026,
+                0.00149895,
+                0.00143677,
+                0.00137298,
+                0.00130562,
+                0.0012237,
+                0.0009812,
+                -1.09056e-07,
+                -0.0055396,
+                -0.0146184,
+                -0.0558847,
+                -1.62574
+              ],
+              [
+                3.41792,
+                0.218227,
+                0.00848424,
+                0.0012666,
+                0.0010455,
+                0.00100249,
+                0.000960506,
+                0.000919362,
+                0.00087906,
+                0.000839605,
+                0.000800998,
+                0.000763243,
+                0.000726334,
+                0.000690266,
+                0.00065503,
+                0.000620602,
+                0.000586957,
+                0.000554051,
+                0.000521821,
+                0.000490165,
+                0.000458906,
+                0.00042767,
+                0.00039508,
+                0.000344846,
+                -1.58752e-06,
+                -0.00441454,
+                -0.0132997,
+                -0.0546613,
+                -1.62558
+              ],
+              [
+                3.42054,
+                0.241952,
+                0.0172161,
+                0.00107852,
+                0.000301388,
+                0.000279693,
+                0.000261829,
+                0.000244817,
+                0.000228564,
+                0.000213037,
+                0.00019821,
+                0.000184057,
+                0.000170552,
+                0.00015767,
+                0.000145382,
+                0.000133662,
+                0.000122478,
+                0.000111797,
+                0.000101585,
+                9.17977e-05,
+                8.23829e-05,
+                7.32541e-05,
+                6.41997e-05,
+                5.35692e-05,
+                -2.18542e-05,
+                -0.00279904,
+                -0.0115252,
+                -0.0532379,
+                -1.62543
+              ],
+              [
+                3.42386,
+                0.266926,
+                0.0303406,
+                0.0032567,
+                6.31434e-05,
+                1.86327e-05,
+                5.30546e-06,
+                -6.91662e-06,
+                -1.88002e-05,
+                -3.04761e-05,
+                -4.19929e-05,
+                -5.33766e-05,
+                -6.46468e-05,
+                -7.58161e-05,
+                -8.68967e-05,
+                -9.79001e-05,
+                -0.000108837,
+                -0.000119716,
+                -0.000130546,
+                -0.000141339,
+                -0.000152109,
+                -0.000162874,
+                -0.000173671,
+                -0.000184757,
+                -0.000208494,
+                -0.00126489,
+                -0.00917018,
+                -0.0517747,
+                -1.62558
+              ],
+              [
+                3.42772,
+                0.292693,
+                0.0463155,
+                0.00934699,
+                1.25213e-05,
+                -0.000253655,
+                -0.000311487,
+                -0.000361845,
+                -0.000410941,
+                -0.000459713,
+                -0.00050846,
+                -0.000557307,
+                -0.000606316,
+                -0.000655518,
+                -0.000704933,
+                -0.00075457,
+                -0.000804435,
+                -0.000854532,
+                -0.000904865,
+                -0.000955432,
+                -0.00100624,
+                -0.0010573,
+                -0.00110861,
+                -0.00116024,
+                -0.00121455,
+                -0.00155177,
+                -0.00692193,
+                -0.0511193,
+                -1.62727
+              ],
+              [
+                3.43181,
+                0.318864,
+                0.0638565,
+                0.0187597,
+                2.31846e-06,
+                -0.001348,
+                -0.00161546,
+                -0.00180946,
+                -0.00199097,
+                -0.00216804,
+                -0.0023431,
+                -0.0025172,
+                -0.00269086,
+                -0.00286438,
+                -0.00303793,
+                -0.00321162,
+                -0.00338552,
+                -0.00355968,
+                -0.00373413,
+                -0.00390891,
+                -0.00408403,
+                -0.00425952,
+                -0.00443542,
+                -0.00461177,
+                -0.00478901,
+                -0.00518829,
+                -0.00802636,
+                -0.0533909,
+                -1.63327
+              ],
+              [
+                3.43602,
+                0.345233,
+                0.0819501,
+                0.0293169,
+                3.21611e-07,
+                -0.00465731,
+                -0.0059096,
+                -0.00649065,
+                -0.00696748,
+                -0.00740972,
+                -0.00783542,
+                -0.00825172,
+                -0.00866209,
+                -0.00906848,
+                -0.00947207,
+                -0.00987362,
+                -0.0102737,
+                -0.0106725,
+                -0.0110705,
+                -0.0114678,
+                -0.0118646,
+                -0.0122611,
+                -0.0126573,
+                -0.0130535,
+                -0.0134499,
+                -0.0142525,
+                -0.0158374,
+                -0.0609999,
+                -1.64629
+              ],
+              [
+                3.44032,
+                0.371657,
+                0.0997793,
+                0.0396927,
+                2.71377e-08,
+                -0.00984934,
+                -0.0141598,
+                -0.0157782,
+                -0.016792,
+                -0.0176384,
+                -0.0184133,
+                -0.0191494,
+                -0.0198618,
+                -0.0205581,
+                -0.0212432,
+                -0.0219197,
+                -0.0225898,
+                -0.0232548,
+                -0.0239156,
+                -0.0245731,
+                -0.0252277,
+                -0.0258801,
+                -0.0265307,
+                -0.0271798,
+                -0.027828,
+                -0.029125,
+                -0.0305939,
+                -0.0736047,
+                -1.66563
+              ],
+              [
+                3.44469,
+                0.398008,
+                0.116811,
+                0.0494912,
+                2.94807e-09,
+                -0.0154572,
+                -0.0245331,
+                -0.0286428,
+                -0.0306706,
+                -0.0321083,
+                -0.0333257,
+                -0.0344342,
+                -0.0354793,
+                -0.0364834,
+                -0.0374589,
+                -0.0384136,
+                -0.0393525,
+                -0.0402791,
+                -0.0411957,
+                -0.0421042,
+                -0.0430061,
+                -0.0439024,
+                -0.044794,
+                -0.045682,
+                -0.0465671,
+                -0.0483322,
+                -0.0501307,
+                -0.0890168,
+                -1.68886
+              ],
+              [
+                3.44913,
+                0.424168,
+                0.132809,
+                0.0586284,
+                1.66509e-09,
+                -0.0208548,
+                -0.0351085,
+                -0.0432389,
+                -0.0471894,
+                -0.0495431,
+                -0.0513397,
+                -0.0528863,
+                -0.0542964,
+                -0.0556219,
+                -0.0568905,
+                -0.0581183,
+                -0.0593158,
+                -0.0604899,
+                -0.0616453,
+                -0.0627858,
+                -0.0639138,
+                -0.0650316,
+                -0.0661408,
+                -0.067243,
+                -0.0683394,
+                -0.0705206,
+                -0.0727029,
+                -0.106447,
+                -1.71431
+              ],
+              [
+                3.45364,
+                0.450015,
+                0.147718,
+                0.0671054,
+                1.61163e-09,
+                -0.0258907,
+                -0.0451819,
+                -0.0580268,
+                -0.0650997,
+                -0.0689177,
+                -0.0715103,
+                -0.0735938,
+                -0.0754174,
+                -0.0770877,
+                -0.0786581,
+                -0.0801588,
+                -0.0816085,
+                -0.0830194,
+                -0.0843999,
+                -0.085756,
+                -0.0870922,
+                -0.0884118,
+                -0.0897177,
+                -0.0910121,
+                -0.092297,
+                -0.0948464,
+                -0.0973829,
+                -0.126095,
+                -1.74121
+              ],
+              [
+                3.45821,
+                0.475421,
+                0.161566,
+                0.0749495,
+                1.61946e-09,
+                -0.0305457,
+                -0.0545903,
+                -0.0721919,
+                -0.083332,
+                -0.0894133,
+                -0.0931356,
+                -0.0959017,
+                -0.0982104,
+                -0.100262,
+                -0.102152,
+                -0.103933,
+                -0.105635,
+                -0.107277,
+                -0.108875,
+                -0.110435,
+                -0.111966,
+                -0.113473,
+                -0.11496,
+                -0.11643,
+                -0.117886,
+                -0.120765,
+                -0.12362,
+                -0.147859,
+                -1.76925
+              ],
+              [
+                3.46283,
+                0.500248,
+                0.174405,
+                0.0821965,
+                1.63836e-09,
+                -0.0348344,
+                -0.0633164,
+                -0.0854701,
+                -0.101067,
+                -0.110313,
+                -0.11565,
+                -0.119308,
+                -0.122202,
+                -0.124687,
+                -0.126926,
+                -0.129001,
+                -0.13096,
+                -0.132835,
+                -0.134646,
+                -0.136405,
+                -0.138123,
+                -0.139807,
+                -0.141463,
+                -0.143096,
+                -0.144709,
+                -0.147891,
+                -0.151034,
+                -0.171544,
+                -1.7982
+              ],
+              [
+                3.46751,
+                0.524342,
+                0.186296,
+                0.0888843,
+                1.67067e-09,
+                -0.0387797,
+                -0.071384,
+                -0.0978184,
+                -0.117843,
+                -0.130968,
+                -0.138561,
+                -0.143405,
+                -0.147021,
+                -0.15001,
+                -0.152635,
+                -0.155026,
+                -0.157256,
+                -0.159368,
+                -0.161392,
+                -0.163348,
+                -0.165248,
+                -0.167103,
+                -0.168922,
+                -0.170709,
+                -0.172471,
+                -0.175934,
+                -0.179342,
+                -0.19695,
+                -1.82788
+              ],
+              [
+                3.47221,
+                0.54753,
+                0.197299,
+                0.0950507,
+                1.72235e-09,
+                -0.0424064,
+                -0.0788296,
+                -0.109262,
+                -0.133502,
+                -0.150846,
+                -0.16141,
+                -0.167839,
+                -0.172361,
+                -0.175947,
+                -0.17901,
+                -0.181747,
+                -0.184263,
+                -0.186623,
+                -0.188866,
+                -0.191019,
+                -0.1931,
+                -0.195124,
+                -0.1971,
+                -0.199036,
+                -0.20094,
+                -0.204669,
+                -0.208325,
+                -0.223886,
+                -1.85814
+              ],
+              [
+                3.4817,
+                0.590456,
+                0.216866,
+                0.105963,
+                1.91528e-09,
+                -0.0487978,
+                -0.0920155,
+                -0.129625,
+                -0.161493,
+                -0.187078,
+                -0.205228,
+                -0.216435,
+                -0.223587,
+                -0.228769,
+                -0.232923,
+                -0.236474,
+                -0.23964,
+                -0.24254,
+                -0.245248,
+                -0.247812,
+                -0.250262,
+                -0.252623,
+                -0.25491,
+                -0.257137,
+                -0.259314,
+                -0.263547,
+                -0.267664,
+                -0.281569,
+                -1.91988
+              ],
+              [
+                3.49114,
+                0.627719,
+                0.233536,
+                0.115202,
+                2.29372e-09,
+                -0.0541836,
+                -0.103188,
+                -0.146971,
+                -0.185434,
+                -0.218251,
+                -0.244424,
+                -0.262604,
+                -0.274019,
+                -0.281631,
+                -0.287308,
+                -0.291919,
+                -0.29588,
+                -0.299414,
+                -0.302646,
+                -0.305659,
+                -0.308503,
+                -0.311214,
+                -0.31382,
+                -0.316339,
+                -0.318786,
+                -0.323509,
+                -0.328063,
+                -0.342458,
+                -1.98264
+              ],
+              [
+                3.51577,
+                0.705155,
+                0.269723,
+                0.135062,
+                5.56154e-09,
+                -0.0657,
+                -0.12729,
+                -0.184711,
+                -0.237895,
+                -0.28674,
+                -0.33102,
+                -0.370149,
+                -0.402687,
+                -0.426753,
+                -0.442902,
+                -0.453962,
+                -0.462171,
+                -0.46873,
+                -0.474261,
+                -0.479104,
+                -0.483463,
+                -0.487463,
+                -0.49119,
+                -0.494702,
+                -0.498041,
+                -0.504317,
+                -0.510194,
+                -0.527614,
+                -2.1717
+              ],
+              [
+                3.53406,
+                0.764612,
+                0.299364,
+                0.151122,
+                2.4958e-08,
+                -0.0750414,
+                -0.147225,
+                -0.216503,
+                -0.282825,
+                -0.346142,
+                -0.406396,
+                -0.463509,
+                -0.517357,
+                -0.567691,
+                -0.613958,
+                -0.654939,
+                -0.688634,
+                -0.713846,
+                -0.73192,
+                -0.745253,
+                -0.755641,
+                -0.764153,
+                -0.771409,
+                -0.777781,
+                -0.783506,
+                -0.793587,
+                -0.802417,
+                -0.825861,
+                -2.47484
+              ]
+            ]
+          },
+          "is_inverting": "true",
+          "is_needed": "true",
+          "miller_cap_fall": 0.000984835,
+          "miller_cap_rise": 0.000634962,
+          "output_voltage_fall": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.019062,
+                  0.0287612,
+                  0.03903,
+                  0.0504716,
+                  0.0678144
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.0886517,
+                  0.1008659,
+                  0.1110581,
+                  0.1226324,
+                  0.1399031
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              }
+            ]
+          },
+          "output_voltage_rise": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.1394753,
+                  0.309939,
+                  0.478547,
+                  0.6482902,
+                  0.9204264
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.001
+                ],
+                "index_3": [
+                  0.194166,
+                  0.3639881,
+                  0.5323073,
+                  0.7018694,
+                  0.973727
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              }
+            ]
+          },
+          "propagated_noise_high": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.09277
+                ],
+                "index_2": [
+                  1.50107
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.7572233,
+                  0.8175624,
+                  1.1232968,
+                  1.3782875,
+                  1.5255137
+                ],
+                "values": [
+                  1.18034,
+                  0.928548,
+                  0.760685,
+                  0.928548,
+                  1.18034
+                ]
+              },
+              {
+                "index_1": [
+                  1.17039
+                ],
+                "index_2": [
+                  0.864749
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.4388806,
+                  0.4794432,
+                  0.6876615,
+                  0.8494369,
+                  0.9799613
+                ],
+                "values": [
+                  1.16984,
+                  0.911749,
+                  0.739686,
+                  0.911749,
+                  1.16984
+                ]
+              },
+              {
+                "index_1": [
+                  1.24019
+                ],
+                "index_2": [
+                  0.578712
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.2959259,
+                  0.326536,
+                  0.475888,
+                  0.5983971,
+                  0.7320832
+                ],
+                "values": [
+                  1.1657,
+                  0.905121,
+                  0.731401,
+                  0.905121,
+                  1.1657
+                ]
+              },
+              {
+                "index_1": [
+                  1.09277
+                ],
+                "index_2": [
+                  0.750534
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.3901174,
+                  0.425873,
+                  0.5963945,
+                  0.7062036,
+                  0.821984
+                ],
+                "values": [
+                  1.39173,
+                  1.26676,
+                  1.18345,
+                  1.26676,
+                  1.39173
+                ]
+              },
+              {
+                "index_1": [
+                  1.17039
+                ],
+                "index_2": [
+                  0.432375
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.2277347,
+                  0.2520578,
+                  0.3689626,
+                  0.4423689,
+                  0.5482486
+                ],
+                "values": [
+                  1.39136,
+                  1.26618,
+                  1.18272,
+                  1.26618,
+                  1.39136
+                ]
+              },
+              {
+                "index_1": [
+                  1.24019
+                ],
+                "index_2": [
+                  0.289356
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.1545412,
+                  0.1732024,
+                  0.2397946,
+                  0.3144915,
+                  0.4220794
+                ],
+                "values": [
+                  1.38948,
+                  1.26316,
+                  1.17895,
+                  1.26316,
+                  1.38948
+                ]
+              },
+              {
+                "index_1": [
+                  1.17039
+                ],
+                "index_2": [
+                  1.29712
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  0.6482755,
+                  0.7038431,
+                  0.9606984,
+                  1.259651,
+                  1.4418577
+                ],
+                "values": [
+                  0.996657,
+                  0.634651,
+                  0.393314,
+                  0.634651,
+                  0.996657
+                ]
+              }
+            ]
+          },
+          "propagated_noise_low": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.12594
+                ],
+                "index_2": [
+                  28.8635
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  14.4039555,
+                  15.2146036,
+                  17.376109,
+                  19.2262734,
+                  19.875855
+                ],
+                "values": [
+                  0.349939,
+                  0.559902,
+                  0.699877,
+                  0.559902,
+                  0.349939
+                ]
+              },
+              {
+                "index_1": [
+                  1.18581
+                ],
+                "index_2": [
+                  16.6877
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  8.343685,
+                  8.9048509,
+                  10.6118672,
+                  11.6191954,
+                  12.000444
+                ],
+                "values": [
+                  0.372086,
+                  0.595338,
+                  0.744172,
+                  0.595338,
+                  0.372086
+                ]
+              },
+              {
+                "index_1": [
+                  1.24192
+                ],
+                "index_2": [
+                  11.0005
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  5.5093413,
+                  5.9385415,
+                  7.0790838,
+                  7.9215504,
+                  8.1808386
+                ],
+                "values": [
+                  0.386944,
+                  0.619111,
+                  0.773889,
+                  0.619111,
+                  0.386944
+                ]
+              },
+              {
+                "index_1": [
+                  1.12594
+                ],
+                "index_2": [
+                  14.4317
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  7.2619074,
+                  7.7106612,
+                  8.8688977,
+                  9.7710642,
+                  10.0716813
+                ],
+                "values": [
+                  0.185568,
+                  0.296909,
+                  0.371136,
+                  0.296909,
+                  0.185568
+                ]
+              },
+              {
+                "index_1": [
+                  1.18581
+                ],
+                "index_2": [
+                  8.34386
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  4.2122659,
+                  4.5204264,
+                  5.337399,
+                  5.8926904,
+                  6.0753232
+                ],
+                "values": [
+                  0.194434,
+                  0.311094,
+                  0.388867,
+                  0.311094,
+                  0.194434
+                ]
+              },
+              {
+                "index_1": [
+                  1.24192
+                ],
+                "index_2": [
+                  5.50024
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  2.7850666,
+                  3.0262087,
+                  3.6668109,
+                  4.0167931,
+                  4.1411761
+                ],
+                "values": [
+                  0.19993,
+                  0.319889,
+                  0.399861,
+                  0.319889,
+                  0.19993
+                ]
+              },
+              {
+                "index_1": [
+                  1.18581
+                ],
+                "index_2": [
+                  25.0316
+                ],
+                "index_3": [
+                  0.001
+                ],
+                "index_4": [
+                  12.4568014,
+                  13.2530212,
+                  15.5740965,
+                  17.2345968,
+                  17.8240645
+                ],
+                "values": [
+                  0.532723,
+                  0.852357,
+                  1.06545,
+                  0.852357,
+                  0.532723
+                ]
+              }
+            ]
+          },
+          "stage_type": "both"
+        },
+        "ccsn_last_stage": {
+          "dc_current ccsn_dc": {
+            "index_1": [
+              -1.6,
+              -0.8,
+              -0.32,
+              -0.16,
+              0,
+              0.08,
+              0.16,
+              0.24,
+              0.32,
+              0.4,
+              0.48,
+              0.56,
+              0.64,
+              0.72,
+              0.8,
+              0.88,
+              0.96,
+              1.04,
+              1.12,
+              1.2,
+              1.28,
+              1.36,
+              1.44,
+              1.52,
+              1.6,
+              1.76,
+              1.92,
+              2.4,
+              3.2
+            ],
+            "index_2": [
+              -1.6,
+              -0.8,
+              -0.32,
+              -0.16,
+              0,
+              0.08,
+              0.16,
+              0.24,
+              0.32,
+              0.4,
+              0.48,
+              0.56,
+              0.64,
+              0.72,
+              0.8,
+              0.88,
+              0.96,
+              1.04,
+              1.12,
+              1.2,
+              1.28,
+              1.36,
+              1.44,
+              1.52,
+              1.6,
+              1.76,
+              1.92,
+              2.4,
+              3.2
+            ],
+            "values": [
+              [
+                4.74924,
+                0.378053,
+                0.355202,
+                0.345261,
+                0.33288,
+                0.325466,
+                0.317126,
+                0.307824,
+                0.297545,
+                0.286278,
+                0.274019,
+                0.260767,
+                0.246521,
+                0.231284,
+                0.215058,
+                0.197849,
+                0.17966,
+                0.1605,
+                0.140377,
+                0.1193,
+                0.0972789,
+                0.0743256,
+                0.0504525,
+                0.0256726,
+                -6.53122e-09,
+                -0.0513605,
+                -0.101502,
+                -0.270139,
+                -2.63865
+              ],
+              [
+                4.60173,
+                0.241163,
+                0.229092,
+                0.224707,
+                0.219874,
+                0.217231,
+                0.21439,
+                0.211296,
+                0.207875,
+                0.204017,
+                0.199555,
+                0.194262,
+                0.187884,
+                0.180224,
+                0.171181,
+                0.160707,
+                0.148775,
+                0.135371,
+                0.120486,
+                0.104114,
+                0.0862538,
+                0.0669061,
+                0.0460756,
+                0.02377,
+                -1.70584e-08,
+                -0.049229,
+                -0.0990406,
+                -0.271735,
+                -2.64308
+              ],
+              [
+                4.50626,
+                0.142323,
+                0.134107,
+                0.131513,
+                0.128774,
+                0.127336,
+                0.125841,
+                0.124279,
+                0.122635,
+                0.120891,
+                0.119021,
+                0.116989,
+                0.114737,
+                0.112179,
+                0.10916,
+                0.105408,
+                0.100524,
+                0.0941539,
+                0.0861149,
+                0.0763263,
+                0.0647447,
+                0.0513407,
+                0.0360922,
+                0.0189826,
+                -1.60172e-09,
+                -0.0416765,
+                -0.0866098,
+                -0.25284,
+                -2.64009
+              ],
+              [
+                4.48206,
+                0.114222,
+                0.102782,
+                0.100675,
+                0.0984782,
+                0.097337,
+                0.0961614,
+                0.0949451,
+                0.0936807,
+                0.0923581,
+                0.0909642,
+                0.0894808,
+                0.0878823,
+                0.0861301,
+                0.0841622,
+                0.0818681,
+                0.079028,
+                0.0752324,
+                0.0700073,
+                0.0630705,
+                0.054304,
+                0.043649,
+                0.0310662,
+                0.0165249,
+                -1.60155e-09,
+                -0.0375929,
+                -0.0796594,
+                -0.241174,
+                -2.63765
+              ],
+              [
+                4.46231,
+                0.101087,
+                0.0733258,
+                0.071666,
+                0.0699556,
+                0.0690768,
+                0.0681789,
+                0.0672589,
+                0.0663128,
+                0.0653357,
+                0.0643213,
+                0.063261,
+                0.0621436,
+                0.0609528,
+                0.0596648,
+                0.0582409,
+                0.0566122,
+                0.0546293,
+                0.0519391,
+                0.0479674,
+                0.0422844,
+                0.0347129,
+                0.0251741,
+                0.0136169,
+                -1.60155e-09,
+                -0.0326417,
+                -0.0711056,
+                -0.226217,
+                -2.63443
+              ],
+              [
+                4.45433,
+                0.101037,
+                0.0596989,
+                0.058249,
+                0.0567642,
+                0.0560054,
+                0.0552334,
+                0.054446,
+                0.0536406,
+                0.0528138,
+                0.0519614,
+                0.0510779,
+                0.0501558,
+                0.049185,
+                0.048151,
+                0.0470315,
+                0.045789,
+                0.0443498,
+                0.0425315,
+                0.0398777,
+                0.0357623,
+                0.0298242,
+                0.0219275,
+                0.0120033,
+                -1.6015e-09,
+                -0.0298435,
+                -0.0662173,
+                -0.217409,
+                -2.63249
+              ],
+              [
+                4.4478,
+                0.104768,
+                0.0470381,
+                0.0457896,
+                0.0445198,
+                0.0438745,
+                0.0432207,
+                0.042557,
+                0.0418817,
+                0.0411926,
+                0.0404869,
+                0.0397613,
+                0.039011,
+                0.03823,
+                0.0374096,
+                0.0365373,
+                0.0355929,
+                0.034541,
+                0.0333019,
+                0.0316435,
+                0.0289865,
+                0.0247011,
+                0.0185056,
+                0.0102935,
+                -1.602e-09,
+                -0.02684,
+                -0.0609288,
+                -0.207674,
+                -2.63032
+              ],
+              [
+                4.44281,
+                0.111992,
+                0.0355288,
+                0.0344691,
+                0.0334046,
+                0.0328667,
+                0.0323241,
+                0.031776,
+                0.0312213,
+                0.0306587,
+                0.0300864,
+                0.0295025,
+                0.0289042,
+                0.028288,
+                0.0276491,
+                0.0269806,
+                0.0262721,
+                0.0255066,
+                0.0246511,
+                0.0236184,
+                0.0221039,
+                0.0194032,
+                0.0149379,
+                0.0084997,
+                -1.61376e-09,
+                -0.0236446,
+                -0.0552557,
+                -0.196994,
+                -2.62792
+              ],
+              [
+                4.4395,
+                0.12256,
+                0.0253946,
+                0.0244705,
+                0.0236024,
+                0.0231665,
+                0.022729,
+                0.0222893,
+                0.0218467,
+                0.0214006,
+                0.0209501,
+                0.0204939,
+                0.0200307,
+                0.0195586,
+                0.0190751,
+                0.0185767,
+                0.0180584,
+                0.0175124,
+                0.0169252,
+                0.0162673,
+                0.0154413,
+                0.0140438,
+                0.0112704,
+                0.00663946,
+                -1.81533e-09,
+                -0.0202765,
+                -0.0492227,
+                -0.185361,
+                -2.62526
+              ],
+              [
+                4.43804,
+                0.136394,
+                0.0170283,
+                0.0159772,
+                0.0152974,
+                0.014959,
+                0.0146211,
+                0.0142835,
+                0.0139458,
+                0.0136078,
+                0.0132689,
+                0.0129287,
+                0.0125865,
+                0.0122414,
+                0.0118922,
+                0.0115375,
+                0.0111751,
+                0.0108017,
+                0.0104122,
+                0.00999709,
+                0.0095315,
+                0.00890547,
+                0.00759174,
+                0.00474305,
+                -5.23043e-09,
+                -0.0167626,
+                -0.0428665,
+                -0.172787,
+                -2.62235
+              ],
+              [
+                4.43856,
+                0.153437,
+                0.0113964,
+                0.00918438,
+                0.00867819,
+                0.00843394,
+                0.00819172,
+                0.00795144,
+                0.00771301,
+                0.00747631,
+                0.00724118,
+                0.00700744,
+                0.00677484,
+                0.00654307,
+                0.00631174,
+                0.00608028,
+                0.00584796,
+                0.0056137,
+                0.00537586,
+                0.00513166,
+                0.00487534,
+                0.00458808,
+                0.00414514,
+                0.00288067,
+                -6.41789e-08,
+                -0.0131409,
+                -0.0362392,
+                -0.159315,
+                -2.61918
+              ],
+              [
+                4.4412,
+                0.17366,
+                0.0105723,
+                0.0043557,
+                0.00394744,
+                0.00379514,
+                0.00364587,
+                0.00349949,
+                0.00335598,
+                0.0032153,
+                0.00307743,
+                0.0029423,
+                0.00280986,
+                0.00268001,
+                0.00255267,
+                0.00242767,
+                0.00230482,
+                0.00218387,
+                0.00206439,
+                0.00194579,
+                0.00182692,
+                0.00170504,
+                0.00156723,
+                0.00125998,
+                -9.90339e-07,
+                -0.00947407,
+                -0.0294222,
+                -0.14506,
+                -2.61575
+              ],
+              [
+                4.44612,
+                0.197037,
+                0.0162672,
+                0.00194852,
+                0.00125324,
+                0.00118262,
+                0.00111655,
+                0.00105308,
+                0.000992125,
+                0.000933617,
+                0.000877494,
+                0.000823695,
+                0.000772152,
+                0.000722793,
+                0.000675541,
+                0.000630312,
+                0.000587011,
+                0.00054553,
+                0.000505743,
+                0.000467487,
+                0.000430531,
+                0.000394457,
+                0.000358005,
+                0.000308742,
+                -1.41535e-05,
+                -0.00590997,
+                -0.022576,
+                -0.13027,
+                -2.61214
+              ],
+              [
+                4.45335,
+                0.223277,
+                0.0278754,
+                0.00280487,
+                0.000267757,
+                0.000222192,
+                0.00019898,
+                0.000177333,
+                0.000156692,
+                0.000136929,
+                0.000117969,
+                9.97571e-05,
+                8.22429e-05,
+                6.53799e-05,
+                4.91241e-05,
+                3.34326e-05,
+                1.82634e-05,
+                3.5744e-06,
+                -1.06778e-05,
+                -2.45401e-05,
+                -3.8068e-05,
+                -5.13407e-05,
+                -6.45358e-05,
+                -7.88943e-05,
+                -0.000145311,
+                -0.00295858,
+                -0.0161337,
+                -0.115456,
+                -2.60864
+              ],
+              [
+                4.46231,
+                0.251379,
+                0.0433177,
+                0.00793406,
+                5.06243e-05,
+                -0.000146085,
+                -0.000191506,
+                -0.000231699,
+                -0.00027091,
+                -0.000309794,
+                -0.000348566,
+                -0.000387317,
+                -0.000426099,
+                -0.000464941,
+                -0.000503861,
+                -0.000542875,
+                -0.000581992,
+                -0.000621222,
+                -0.000660574,
+                -0.000700055,
+                -0.000739677,
+                -0.000779456,
+                -0.000819427,
+                -0.000859794,
+                -0.00091032,
+                -0.00186689,
+                -0.0111863,
+                -0.101753,
+                -2.60637
+              ],
+              [
+                4.47202,
+                0.280352,
+                0.0608004,
+                0.0168023,
+                9.8335e-06,
+                -0.0010141,
+                -0.00121639,
+                -0.00137042,
+                -0.00151615,
+                -0.00165898,
+                -0.00180058,
+                -0.00194167,
+                -0.00208259,
+                -0.00222355,
+                -0.00236467,
+                -0.00250602,
+                -0.00264765,
+                -0.00278959,
+                -0.00293186,
+                -0.00307448,
+                -0.00321747,
+                -0.00336085,
+                -0.00350465,
+                -0.00364894,
+                -0.00379557,
+                -0.0042687,
+                -0.0098442,
+                -0.0914543,
+                -2.6079
+              ],
+              [
+                4.48199,
+                0.30977,
+                0.0791723,
+                0.0272672,
+                1.75994e-06,
+                -0.00382511,
+                -0.00477196,
+                -0.00524657,
+                -0.00564873,
+                -0.00602622,
+                -0.00639183,
+                -0.00675071,
+                -0.00710539,
+                -0.00745731,
+                -0.00780733,
+                -0.00815602,
+                -0.00850375,
+                -0.00885079,
+                -0.00919735,
+                -0.00954357,
+                -0.00988958,
+                -0.0102355,
+                -0.0105814,
+                -0.0109275,
+                -0.0112742,
+                -0.0120029,
+                -0.014953,
+                -0.0875172,
+                -2.61623
+              ],
+              [
+                4.49214,
+                0.339433,
+                0.097586,
+                0.0378302,
+                2.24042e-07,
+                -0.00870242,
+                -0.0122277,
+                -0.0135509,
+                -0.014426,
+                -0.0151738,
+                -0.015866,
+                -0.0165277,
+                -0.0171705,
+                -0.0178006,
+                -0.0184216,
+                -0.019036,
+                -0.0196452,
+                -0.0202504,
+                -0.0208524,
+                -0.0214518,
+                -0.0220491,
+                -0.0226446,
+                -0.0232388,
+                -0.0238319,
+                -0.0244245,
+                -0.0256164,
+                -0.0274519,
+                -0.0906818,
+                -2.63138
+              ],
+              [
+                4.50241,
+                0.369194,
+                0.115461,
+                0.0479892,
+                1.77112e-08,
+                -0.0142468,
+                -0.0222063,
+                -0.025641,
+                -0.0273921,
+                -0.0286783,
+                -0.0297863,
+                -0.0308046,
+                -0.03177,
+                -0.0327009,
+                -0.0336077,
+                -0.0344968,
+                -0.0353725,
+                -0.0362377,
+                -0.0370946,
+                -0.0379446,
+                -0.0387889,
+                -0.0396286,
+                -0.0404644,
+                -0.0412972,
+                -0.0421276,
+                -0.0437859,
+                -0.0455773,
+                -0.0994287,
+                -2.65113
+              ],
+              [
+                4.5128,
+                0.39892,
+                0.132491,
+                0.05761,
+                2.41842e-09,
+                -0.0197298,
+                -0.0327502,
+                -0.0398264,
+                -0.0432283,
+                -0.0453307,
+                -0.0469756,
+                -0.0484104,
+                -0.0497286,
+                -0.0509738,
+                -0.0521694,
+                -0.0533295,
+                -0.054463,
+                -0.0555759,
+                -0.0566724,
+                -0.0577557,
+                -0.058828,
+                -0.0598914,
+                -0.0609472,
+                -0.0619968,
+                -0.0630416,
+                -0.0651214,
+                -0.0672237,
+                -0.112343,
+                -2.67379
+              ],
+              [
+                4.5233,
+                0.428479,
+                0.148562,
+                0.0666621,
+                1.64566e-09,
+                -0.0249479,
+                -0.0430206,
+                -0.0545931,
+                -0.0607397,
+                -0.0641192,
+                -0.066486,
+                -0.0684233,
+                -0.070137,
+                -0.0717167,
+                -0.0732084,
+                -0.0746383,
+                -0.0760227,
+                -0.0773724,
+                -0.0786947,
+                -0.0799951,
+                -0.0812774,
+                -0.082545,
+                -0.0838001,
+                -0.0850449,
+                -0.0862813,
+                -0.0887362,
+                -0.0911849,
+                -0.128594,
+                -2.69847
+              ],
+              [
+                4.53389,
+                0.457743,
+                0.163659,
+                0.0751483,
+                1.62095e-09,
+                -0.0298546,
+                -0.0527862,
+                -0.0690475,
+                -0.0789176,
+                -0.0842522,
+                -0.0876179,
+                -0.0901807,
+                -0.0923507,
+                -0.0942961,
+                -0.0960986,
+                -0.0978031,
+                -0.0994368,
+                -0.101017,
+                -0.102556,
+                -0.104062,
+                -0.105541,
+                -0.106997,
+                -0.108435,
+                -0.109858,
+                -0.111268,
+                -0.11406,
+                -0.11683,
+                -0.147659,
+                -2.7247
+              ],
+              [
+                4.54456,
+                0.486576,
+                0.1778,
+                0.0830841,
+                1.63843e-09,
+                -0.0344461,
+                -0.0619895,
+                -0.0828384,
+                -0.0969596,
+                -0.10506,
+                -0.10982,
+                -0.113179,
+                -0.115889,
+                -0.118243,
+                -0.120379,
+                -0.122369,
+                -0.124256,
+                -0.126066,
+                -0.127816,
+                -0.12952,
+                -0.131186,
+                -0.132821,
+                -0.134431,
+                -0.136018,
+                -0.137588,
+                -0.140687,
+                -0.143751,
+                -0.169149,
+                -2.75214
+              ],
+              [
+                4.55531,
+                0.514833,
+                0.191023,
+                0.0904912,
+                1.67068e-09,
+                -0.0387313,
+                -0.0706244,
+                -0.0958638,
+                -0.114352,
+                -0.125951,
+                -0.132627,
+                -0.137016,
+                -0.140375,
+                -0.143195,
+                -0.145696,
+                -0.147989,
+                -0.150138,
+                -0.15218,
+                -0.154141,
+                -0.15604,
+                -0.157887,
+                -0.159694,
+                -0.161466,
+                -0.163209,
+                -0.164928,
+                -0.168312,
+                -0.171646,
+                -0.192748,
+                -2.78056
+              ],
+              [
+                4.56611,
+                0.542354,
+                0.203367,
+                0.0973933,
+                1.72235e-09,
+                -0.042723,
+                -0.0787035,
+                -0.108107,
+                -0.130854,
+                -0.146418,
+                -0.155624,
+                -0.161353,
+                -0.165504,
+                -0.168865,
+                -0.171771,
+                -0.17439,
+                -0.176813,
+                -0.179094,
+                -0.181269,
+                -0.183362,
+                -0.185388,
+                -0.187362,
+                -0.189291,
+                -0.191184,
+                -0.193045,
+                -0.196697,
+                -0.200283,
+                -0.218183,
+                -2.80975
+              ],
+              [
+                4.58781,
+                0.594472,
+                0.225588,
+                0.109783,
+                1.91528e-09,
+                -0.0498845,
+                -0.0932781,
+                -0.130312,
+                -0.16097,
+                -0.184722,
+                -0.200696,
+                -0.210367,
+                -0.216716,
+                -0.221459,
+                -0.225342,
+                -0.228709,
+                -0.231738,
+                -0.234531,
+                -0.237152,
+                -0.239641,
+                -0.242028,
+                -0.244331,
+                -0.246568,
+                -0.248748,
+                -0.250881,
+                -0.255037,
+                -0.259087,
+                -0.273619,
+                -2.86989
+              ],
+              [
+                4.60945,
+                0.64139,
+                0.244799,
+                0.120453,
+                2.29372e-09,
+                -0.0560488,
+                -0.105905,
+                -0.149669,
+                -0.187357,
+                -0.218657,
+                -0.242465,
+                -0.258074,
+                -0.267829,
+                -0.274558,
+                -0.279734,
+                -0.284029,
+                -0.287774,
+                -0.291149,
+                -0.294259,
+                -0.297171,
+                -0.299933,
+                -0.302574,
+                -0.305119,
+                -0.307583,
+                -0.309982,
+                -0.31462,
+                -0.319103,
+                -0.333375,
+                -2.93158
+              ],
+              [
+                4.66858,
+                0.742739,
+                0.287453,
+                0.143987,
+                5.56154e-09,
+                -0.0696525,
+                -0.134075,
+                -0.193302,
+                -0.247357,
+                -0.296197,
+                -0.339576,
+                -0.376646,
+                -0.405566,
+                -0.425416,
+                -0.438544,
+                -0.447851,
+                -0.455034,
+                -0.460947,
+                -0.466042,
+                -0.470573,
+                -0.474698,
+                -0.478517,
+                -0.482098,
+                -0.48549,
+                -0.488729,
+                -0.494845,
+                -0.500602,
+                -0.51773,
+                -3.1196
+              ],
+              [
+                4.71432,
+                0.820176,
+                0.323496,
+                0.163677,
+                2.4958e-08,
+                -0.0811577,
+                -0.158468,
+                -0.231926,
+                -0.301528,
+                -0.367267,
+                -0.429123,
+                -0.487041,
+                -0.540864,
+                -0.590173,
+                -0.633926,
+                -0.670151,
+                -0.697285,
+                -0.716499,
+                -0.730443,
+                -0.741168,
+                -0.749878,
+                -0.757258,
+                -0.763712,
+                -0.769493,
+                -0.774765,
+                -0.784203,
+                -0.7926,
+                -0.815251,
+                -3.42206
+              ]
+            ]
+          },
+          "is_inverting": "true",
+          "is_needed": "true",
+          "miller_cap_fall": 0.00083195,
+          "miller_cap_rise": 0.00060997,
+          "output_voltage_fall": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.0036196
+                ],
+                "index_3": [
+                  0.0188886,
+                  0.0275666,
+                  0.0364767,
+                  0.0462764,
+                  0.0599664
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              },
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.0108588
+                ],
+                "index_3": [
+                  0.0251008,
+                  0.046266,
+                  0.0685657,
+                  0.0924241,
+                  0.1251903
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.0036196
+                ],
+                "index_3": [
+                  0.0874046,
+                  0.0991117,
+                  0.1082227,
+                  0.1179826,
+                  0.131726
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.0108588
+                ],
+                "index_3": [
+                  0.0968107,
+                  0.1183402,
+                  0.1405974,
+                  0.1641895,
+                  0.1970766
+                ],
+                "values": [
+                  1.44,
+                  1.12,
+                  0.8,
+                  0.48,
+                  0.16
+                ]
+              }
+            ]
+          },
+          "output_voltage_rise": {
+            "vector ccsn_ovrf": [
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.00131167
+                ],
+                "index_3": [
+                  0.0299261,
+                  0.0428596,
+                  0.0563337,
+                  0.0713974,
+                  0.0958517
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              },
+              {
+                "index_1": [
+                  0.01
+                ],
+                "index_2": [
+                  0.003935
+                ],
+                "index_3": [
+                  0.0369173,
+                  0.0623484,
+                  0.0896477,
+                  0.1203759,
+                  0.1664484
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.00131167
+                ],
+                "index_3": [
+                  0.1015425,
+                  0.1143335,
+                  0.1279034,
+                  0.1433405,
+                  0.1676422
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              },
+              {
+                "index_1": [
+                  0.1
+                ],
+                "index_2": [
+                  0.003935
+                ],
+                "index_3": [
+                  0.1089449,
+                  0.1344913,
+                  0.1618047,
+                  0.19188,
+                  0.2380487
+                ],
+                "values": [
+                  0.16,
+                  0.48,
+                  0.8,
+                  1.12,
+                  1.44
+                ]
+              }
+            ]
+          },
+          "propagated_noise_high": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.1041
+                ],
+                "index_2": [
+                  1.3941
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.7015108,
+                  0.7565083,
+                  0.9661298,
+                  1.1641274,
+                  1.237881
+                ],
+                "values": [
+                  1.15822,
+                  0.893155,
+                  0.716444,
+                  0.893155,
+                  1.15822
+                ]
+              },
+              {
+                "index_1": [
+                  1.18038
+                ],
+                "index_2": [
+                  0.806698
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.4086029,
+                  0.4460751,
+                  0.5879434,
+                  0.7099608,
+                  0.7608041
+                ],
+                "values": [
+                  1.14651,
+                  0.87442,
+                  0.693025,
+                  0.87442,
+                  1.14651
+                ]
+              },
+              {
+                "index_1": [
+                  1.2488
+                ],
+                "index_2": [
+                  0.541089
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.2757807,
+                  0.304107,
+                  0.4201288,
+                  0.4973579,
+                  0.534981
+                ],
+                "values": [
+                  1.13865,
+                  0.861846,
+                  0.677307,
+                  0.861846,
+                  1.13865
+                ]
+              },
+              {
+                "index_1": [
+                  1.1041
+                ],
+                "index_2": [
+                  0.697052
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.3595157,
+                  0.392377,
+                  0.5223025,
+                  0.5963479,
+                  0.6374801
+                ],
+                "values": [
+                  1.3726,
+                  1.23616,
+                  1.14519,
+                  1.23616,
+                  1.3726
+                ]
+              },
+              {
+                "index_1": [
+                  1.2488
+                ],
+                "index_2": [
+                  0.270545
+                ],
+                "index_3": [
+                  0.0036196
+                ],
+                "index_4": [
+                  0.1433916,
+                  0.1606922,
+                  0.2180435,
+                  0.2574121,
+                  0.2805347
+                ],
+                "values": [
+                  1.36789,
+                  1.22862,
+                  1.13577,
+                  1.22862,
+                  1.36789
+                ]
+              },
+              {
+                "index_1": [
+                  1.18038
+                ],
+                "index_2": [
+                  0.806698
+                ],
+                "index_3": [
+                  0.0108588
+                ],
+                "index_4": [
+                  0.4118444,
+                  0.4510615,
+                  0.5869026,
+                  0.726376,
+                  0.7915965
+                ],
+                "values": [
+                  1.40453,
+                  1.28725,
+                  1.20907,
+                  1.28725,
+                  1.40453
+                ]
+              },
+              {
+                "index_1": [
+                  1.2488
+                ],
+                "index_2": [
+                  0.541089
+                ],
+                "index_3": [
+                  0.0108588
+                ],
+                "index_4": [
+                  0.27817,
+                  0.3088227,
+                  0.4224133,
+                  0.5083041,
+                  0.5594671
+                ],
+                "values": [
+                  1.40136,
+                  1.28218,
+                  1.20272,
+                  1.28218,
+                  1.40136
+                ]
+              }
+            ]
+          },
+          "propagated_noise_low": {
+            "vector ccsn_pnlh": [
+              {
+                "index_1": [
+                  1.18421
+                ],
+                "index_2": [
+                  2.57649
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  1.2980511,
+                  1.3902632,
+                  1.7139063,
+                  1.8850914,
+                  1.9571878
+                ],
+                "values": [
+                  0.493614,
+                  0.789783,
+                  0.987229,
+                  0.789783,
+                  0.493614
+                ]
+              },
+              {
+                "index_1": [
+                  1.2548
+                ],
+                "index_2": [
+                  1.51551
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  0.7688288,
+                  0.8329439,
+                  1.0255142,
+                  1.1556873,
+                  1.2031148
+                ],
+                "values": [
+                  0.513328,
+                  0.821325,
+                  1.02666,
+                  0.821325,
+                  0.513328
+                ]
+              },
+              {
+                "index_1": [
+                  1.31575
+                ],
+                "index_2": [
+                  1.03273
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  0.5268636,
+                  0.5757628,
+                  0.7140312,
+                  0.8148045,
+                  0.8488782
+                ],
+                "values": [
+                  0.527526,
+                  0.844041,
+                  1.05505,
+                  0.844041,
+                  0.527526
+                ]
+              },
+              {
+                "index_1": [
+                  1.18421
+                ],
+                "index_2": [
+                  1.28824
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  0.6651608,
+                  0.7187977,
+                  0.8801684,
+                  0.9602191,
+                  0.9952697
+                ],
+                "values": [
+                  0.266452,
+                  0.426324,
+                  0.532905,
+                  0.426324,
+                  0.266452
+                ]
+              },
+              {
+                "index_1": [
+                  1.31575
+                ],
+                "index_2": [
+                  0.516364
+                ],
+                "index_3": [
+                  0.00131167
+                ],
+                "index_4": [
+                  0.2740289,
+                  0.3038656,
+                  0.384301,
+                  0.4152739,
+                  0.4322568
+                ],
+                "values": [
+                  0.280207,
+                  0.448332,
+                  0.560414,
+                  0.448332,
+                  0.280207
+                ]
+              },
+              {
+                "index_1": [
+                  1.2548
+                ],
+                "index_2": [
+                  1.51551
+                ],
+                "index_3": [
+                  0.003935
+                ],
+                "index_4": [
+                  0.7727273,
+                  0.8401517,
+                  1.0554991,
+                  1.1717085,
+                  1.2196482
+                ],
+                "values": [
+                  0.278676,
+                  0.445882,
+                  0.557353,
+                  0.445882,
+                  0.278676
+                ]
+              },
+              {
+                "index_1": [
+                  1.31575
+                ],
+                "index_2": [
+                  1.03273
+                ],
+                "index_3": [
+                  0.003935
+                ],
+                "index_4": [
+                  0.5299942,
+                  0.5812526,
+                  0.7233189,
+                  0.8246491,
+                  0.8596153
+                ],
+                "values": [
+                  0.282969,
+                  0.452751,
+                  0.565939,
+                  0.452751,
+                  0.282969
+                ]
+              }
+            ]
+          },
+          "stage_type": "both"
+        },
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00144888,
+            0.00419848,
+            0.0121662,
+            0.0352545,
+            0.102159,
+            0.29603
+          ],
+          "values": [
+            [
+              0.7190723,
+              0.7400273,
+              0.784588,
+              0.8676982,
+              1.0311286,
+              1.3741277,
+              2.2368478
+            ],
+            [
+              0.7195145,
+              0.7411116,
+              0.7854474,
+              0.8698753,
+              1.0320315,
+              1.374427,
+              2.2380393
+            ],
+            [
+              0.7325702,
+              0.7539581,
+              0.7982494,
+              0.8813814,
+              1.0448187,
+              1.3881122,
+              2.2510243
+            ],
+            [
+              0.7955031,
+              0.8165819,
+              0.8608894,
+              0.9444642,
+              1.1080802,
+              1.4512609,
+              2.3146496
+            ],
+            [
+              0.9918708,
+              1.0131757,
+              1.0568733,
+              1.1396094,
+              1.3038753,
+              1.646818,
+              2.5103697
+            ],
+            [
+              1.5799059,
+              1.601296,
+              1.6446338,
+              1.7280335,
+              1.891221,
+              2.2343798,
+              3.0978093
+            ],
+            [
+              3.0553664,
+              3.0835501,
+              3.1397847,
+              3.2352017,
+              3.4043692,
+              3.7537031,
+              4.62548
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00144888,
+            0.00419848,
+            0.0121662,
+            0.0352545,
+            0.102159,
+            0.29603
+          ],
+          "values": [
+            [
+              0.0932365,
+              0.1063095,
+              0.1414393,
+              0.2397135,
+              0.5245797,
+              1.3458342,
+              3.727366
+            ],
+            [
+              0.1022949,
+              0.1153362,
+              0.1505947,
+              0.249067,
+              0.5329335,
+              1.3541134,
+              3.7428509
+            ],
+            [
+              0.1280382,
+              0.1410294,
+              0.1762627,
+              0.2756619,
+              0.5600617,
+              1.3815812,
+              3.7686925
+            ],
+            [
+              0.1964541,
+              0.2096707,
+              0.2448972,
+              0.3440574,
+              0.6296698,
+              1.4547924,
+              3.8335739
+            ],
+            [
+              0.3393094,
+              0.3535619,
+              0.3900329,
+              0.4900675,
+              0.7761689,
+              1.5991901,
+              3.9846406
+            ],
+            [
+              0.6159703,
+              0.6348583,
+              0.6760938,
+              0.7770145,
+              1.0637891,
+              1.8867061,
+              4.2796797
+            ],
+            [
+              1.1327793,
+              1.1635569,
+              1.2247838,
+              1.3417203,
+              1.6264823,
+              2.4492905,
+              4.8315569
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00144888,
+            0.00419848,
+            0.0121662,
+            0.0352545,
+            0.102159,
+            0.29603
+          ],
+          "values": [
+            [
+              0.0827558,
+              0.0941706,
+              0.12056,
+              0.178601,
+              0.3169897,
+              0.6698402,
+              1.7494446
+            ],
+            [
+              0.0832597,
+              0.0947876,
+              0.1194901,
+              0.1799356,
+              0.3149326,
+              0.6701616,
+              1.7517037
+            ],
+            [
+              0.083067,
+              0.0937143,
+              0.1198593,
+              0.1784245,
+              0.3164863,
+              0.673223,
+              1.748544
+            ],
+            [
+              0.0834126,
+              0.0940908,
+              0.1198391,
+              0.1776526,
+              0.3153274,
+              0.6718561,
+              1.7552152
+            ],
+            [
+              0.0824225,
+              0.0930359,
+              0.1186361,
+              0.1798186,
+              0.3158089,
+              0.6721968,
+              1.7391883
+            ],
+            [
+              0.0809794,
+              0.0919825,
+              0.1198252,
+              0.1791624,
+              0.3166686,
+              0.6722859,
+              1.7460031
+            ],
+            [
+              0.1202617,
+              0.1316284,
+              0.1564398,
+              0.2079546,
+              0.336564,
+              0.6860114,
+              1.7570682
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0281727,
+            0.0793701,
+            0.223607,
+            0.629961,
+            1.77477,
+            5
+          ],
+          "index_2": [
+            0.0005,
+            0.00144888,
+            0.00419848,
+            0.0121662,
+            0.0352545,
+            0.102159,
+            0.29603
+          ],
+          "values": [
+            [
+              0.0347481,
+              0.050189,
+              0.0950204,
+              0.230035,
+              0.618953,
+              1.7352044,
+              4.9683582
+            ],
+            [
+              0.0347301,
+              0.0501468,
+              0.0951751,
+              0.2302573,
+              0.61957,
+              1.7478468,
+              4.9710067
+            ],
+            [
+              0.0348224,
+              0.0501068,
+              0.0952936,
+              0.2296665,
+              0.6205042,
+              1.7416472,
+              4.9587103
+            ],
+            [
+              0.0358752,
+              0.050855,
+              0.0954831,
+              0.230241,
+              0.6136617,
+              1.7431668,
+              4.9803317
+            ],
+            [
+              0.0422153,
+              0.0560987,
+              0.0982068,
+              0.2282724,
+              0.6193104,
+              1.7428504,
+              4.9784714
+            ],
+            [
+              0.0611308,
+              0.0737357,
+              0.1099773,
+              0.2327653,
+              0.6164683,
+              1.745159,
+              4.9778652
+            ],
+            [
+              0.1089117,
+              0.1229411,
+              0.1553743,
+              0.256276,
+              0.6231642,
+              1.7348364,
+              4.9827023
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_n40C_1v76.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_n40C_1v76.lib.json
new file mode 100644
index 0000000..8466052
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__ss_n40C_1v76.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 0.001120224,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 0.0017897,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0018867,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.0001256,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0017878,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 9.8052175e-05,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0001254,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0017897,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0019075,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.0001256,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0017878,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 9.8052175e-05,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0001254,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0017897,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0019015,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.0001256,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0017878,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 9.8052175e-05,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0001254,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0017974,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0001362,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.0001253,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0017878,
+      "when": "A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 9.761766e-05,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0017877,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0001254,
+      "when": "A1&A2&B1&C1&!D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.002306,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002216,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.004371,
+          0.004369,
+          0.0043645,
+          0.0043643,
+          0.0043637,
+          0.0043625,
+          0.0043597
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0036358,
+          -0.0036425,
+          -0.0036577,
+          -0.0036506,
+          -0.0036341,
+          -0.0035961,
+          -0.0035087
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002396
+  },
+  "pin A2": {
+    "capacitance": 0.002186,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002091,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0037696,
+          0.003771,
+          0.0037743,
+          0.0037742,
+          0.0037742,
+          0.003774,
+          0.0037735
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0037517,
+          -0.0037518,
+          -0.0037519,
+          -0.0037514,
+          -0.0037502,
+          -0.0037474,
+          -0.003741
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002281
+  },
+  "pin B1": {
+    "capacitance": 0.002332,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002198,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0039077,
+          0.0039057,
+          0.003901,
+          0.0038996,
+          0.0038965,
+          0.0038891,
+          0.0038722
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0034064,
+          -0.0034238,
+          -0.0034641,
+          -0.0034751,
+          -0.0035006,
+          -0.0035593,
+          -0.0036947
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002465
+  },
+  "pin C1": {
+    "capacitance": 0.002301,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002162,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0036649,
+          0.0036647,
+          0.0036641,
+          0.0036644,
+          0.0036652,
+          0.003667,
+          0.0036711
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0034132,
+          -0.0034454,
+          -0.0035196,
+          -0.0035228,
+          -0.0035302,
+          -0.0035474,
+          -0.003587
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002441
+  },
+  "pin D1": {
+    "capacitance": 0.002265,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002083,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0025031,
+          0.0024996,
+          0.0024917,
+          0.002498,
+          0.0025127,
+          0.0025466,
+          0.0026247
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0019231,
+          -0.0019234,
+          -0.0019242,
+          -0.0019232,
+          -0.0019207,
+          -0.0019151,
+          -0.0019021
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002448
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.003050402,
+            0.007534433,
+            0.0186099,
+            0.04596609,
+            0.1135353
+          ],
+          "values": [
+            [
+              0.0134479,
+              0.0127125,
+              0.0107198,
+              0.0051356,
+              -0.0103268,
+              -0.0514127,
+              -0.1557122
+            ],
+            [
+              0.0132931,
+              0.0125834,
+              0.0105873,
+              0.0050317,
+              -0.0104355,
+              -0.0515151,
+              -0.155799
+            ],
+            [
+              0.0130859,
+              0.012273,
+              0.0103673,
+              0.0047916,
+              -0.0106848,
+              -0.0517337,
+              -0.1560031
+            ],
+            [
+              0.0128765,
+              0.012148,
+              0.0101451,
+              0.004545,
+              -0.010879,
+              -0.051983,
+              -0.1562347
+            ],
+            [
+              0.0125845,
+              0.0118743,
+              0.0099764,
+              0.004366,
+              -0.0111214,
+              -0.052171,
+              -0.1564384
+            ],
+            [
+              0.0126432,
+              0.0119141,
+              0.0098996,
+              0.0042322,
+              -0.0111537,
+              -0.0522184,
+              -0.156475
+            ],
+            [
+              0.0125155,
+              0.011714,
+              0.0097079,
+              0.0041278,
+              -0.011271,
+              -0.0523541,
+              -0.156594
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.003050402,
+            0.007534433,
+            0.0186099,
+            0.04596609,
+            0.1135353
+          ],
+          "values": [
+            [
+              0.0140896,
+              0.0154998,
+              0.0187597,
+              0.0262763,
+              0.0439214,
+              0.086205,
+              0.1909946
+            ],
+            [
+              0.0140387,
+              0.0154317,
+              0.0187093,
+              0.0262269,
+              0.0437768,
+              0.0861074,
+              0.1901654
+            ],
+            [
+              0.0139893,
+              0.0153833,
+              0.0186429,
+              0.026151,
+              0.0436905,
+              0.0860378,
+              0.1901401
+            ],
+            [
+              0.0139382,
+              0.015329,
+              0.0185365,
+              0.0259861,
+              0.0435631,
+              0.0862881,
+              0.1906889
+            ],
+            [
+              0.0138866,
+              0.0152329,
+              0.0183714,
+              0.0257809,
+              0.043301,
+              0.0856431,
+              0.1896577
+            ],
+            [
+              0.0142032,
+              0.0153873,
+              0.0182669,
+              0.0256036,
+              0.043039,
+              0.085478,
+              0.1892555
+            ],
+            [
+              0.0145958,
+              0.0157715,
+              0.0186735,
+              0.0256666,
+              0.04235,
+              0.0847588,
+              0.1897817
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.003050402,
+            0.007534433,
+            0.0186099,
+            0.04596609,
+            0.1135353
+          ],
+          "values": [
+            [
+              0.0159138,
+              0.0151799,
+              0.0131938,
+              0.0076346,
+              -0.0078382,
+              -0.0488196,
+              -0.1530017
+            ],
+            [
+              0.0158489,
+              0.015117,
+              0.0130988,
+              0.0074622,
+              -0.0078875,
+              -0.0488932,
+              -0.1530789
+            ],
+            [
+              0.0157628,
+              0.0150394,
+              0.0129451,
+              0.0074456,
+              -0.0080042,
+              -0.0490155,
+              -0.1531987
+            ],
+            [
+              0.0156127,
+              0.0148879,
+              0.0128595,
+              0.007285,
+              -0.0081062,
+              -0.0491236,
+              -0.1533289
+            ],
+            [
+              0.0154896,
+              0.0147397,
+              0.0127606,
+              0.0071629,
+              -0.0082797,
+              -0.0492644,
+              -0.1534451
+            ],
+            [
+              0.0154612,
+              0.0147293,
+              0.0127407,
+              0.0071499,
+              -0.0082755,
+              -0.0493067,
+              -0.1534824
+            ],
+            [
+              0.0153582,
+              0.0146487,
+              0.012555,
+              0.0070169,
+              -0.0084218,
+              -0.0494016,
+              -0.1535594
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.003050402,
+            0.007534433,
+            0.0186099,
+            0.04596609,
+            0.1135353
+          ],
+          "values": [
+            [
+              0.0141743,
+              0.0155727,
+              0.0188252,
+              0.02633,
+              0.0441224,
+              0.0865847,
+              0.19125
+            ],
+            [
+              0.0141099,
+              0.0155077,
+              0.0187584,
+              0.0262751,
+              0.0440477,
+              0.0865355,
+              0.1899918
+            ],
+            [
+              0.0140463,
+              0.0154468,
+              0.0186992,
+              0.0261975,
+              0.0438064,
+              0.0863915,
+              0.1910284
+            ],
+            [
+              0.0139711,
+              0.0153652,
+              0.0185957,
+              0.0260557,
+              0.0437909,
+              0.0863646,
+              0.1907799
+            ],
+            [
+              0.0140087,
+              0.0153667,
+              0.0185481,
+              0.025946,
+              0.0435454,
+              0.0859471,
+              0.1906484
+            ],
+            [
+              0.014403,
+              0.0155815,
+              0.0184274,
+              0.0258053,
+              0.0431222,
+              0.0857023,
+              0.1896782
+            ],
+            [
+              0.0149478,
+              0.0161198,
+              0.0189721,
+              0.0259983,
+              0.0429552,
+              0.0850902,
+              0.1901084
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.003050402,
+            0.007534433,
+            0.0186099,
+            0.04596609,
+            0.1135353
+          ],
+          "values": [
+            [
+              0.0139988,
+              0.0132734,
+              0.0112601,
+              0.0057101,
+              -0.0097283,
+              -0.0507443,
+              -0.1549294
+            ],
+            [
+              0.0138821,
+              0.0131523,
+              0.0111382,
+              0.0055921,
+              -0.0098344,
+              -0.0508535,
+              -0.1550389
+            ],
+            [
+              0.0137143,
+              0.0129786,
+              0.0109418,
+              0.0053705,
+              -0.009981,
+              -0.0510225,
+              -0.1551982
+            ],
+            [
+              0.0135698,
+              0.0128175,
+              0.0108359,
+              0.0052059,
+              -0.0101466,
+              -0.0511903,
+              -0.155352
+            ],
+            [
+              0.013458,
+              0.0126545,
+              0.0106464,
+              0.0051829,
+              -0.0102897,
+              -0.0512926,
+              -0.155466
+            ],
+            [
+              0.0134044,
+              0.012657,
+              0.0106577,
+              0.0050095,
+              -0.0103801,
+              -0.0513513,
+              -0.1555198
+            ],
+            [
+              0.013341,
+              0.0125126,
+              0.0104973,
+              0.0049979,
+              -0.0104531,
+              -0.0514716,
+              -0.1556227
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.003050402,
+            0.007534433,
+            0.0186099,
+            0.04596609,
+            0.1135353
+          ],
+          "values": [
+            [
+              0.0127224,
+              0.0140241,
+              0.0170703,
+              0.0242459,
+              0.0415008,
+              0.0836506,
+              0.187442
+            ],
+            [
+              0.0127315,
+              0.0140383,
+              0.0170772,
+              0.0242569,
+              0.0416498,
+              0.0839566,
+              0.1883264
+            ],
+            [
+              0.0127573,
+              0.0140513,
+              0.0170809,
+              0.0243207,
+              0.0415412,
+              0.0836993,
+              0.1874351
+            ],
+            [
+              0.0126813,
+              0.0139609,
+              0.0169569,
+              0.0241754,
+              0.0413943,
+              0.0835134,
+              0.1871773
+            ],
+            [
+              0.0126162,
+              0.013868,
+              0.0168203,
+              0.0239619,
+              0.0413792,
+              0.0837905,
+              0.1873281
+            ],
+            [
+              0.0126655,
+              0.0138781,
+              0.0167344,
+              0.0236297,
+              0.0408385,
+              0.0831195,
+              0.1869063
+            ],
+            [
+              0.0127086,
+              0.0138844,
+              0.0168136,
+              0.0237729,
+              0.0408512,
+              0.082792,
+              0.1866145
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.003050402,
+            0.007534433,
+            0.0186099,
+            0.04596609,
+            0.1135353
+          ],
+          "values": [
+            [
+              0.0122676,
+              0.011556,
+              0.0095279,
+              0.003977,
+              -0.0114435,
+              -0.0524654,
+              -0.1566737
+            ],
+            [
+              0.0121798,
+              0.0114521,
+              0.0093747,
+              0.0037957,
+              -0.0115475,
+              -0.0525899,
+              -0.1567623
+            ],
+            [
+              0.0119956,
+              0.0112451,
+              0.0092452,
+              0.003623,
+              -0.0117234,
+              -0.0527425,
+              -0.1569252
+            ],
+            [
+              0.0118189,
+              0.0111037,
+              0.0090746,
+              0.0034536,
+              -0.0119128,
+              -0.0529153,
+              -0.1570965
+            ],
+            [
+              0.0116881,
+              0.0109741,
+              0.0089771,
+              0.0033366,
+              -0.01202,
+              -0.0530328,
+              -0.1572193
+            ],
+            [
+              0.0116436,
+              0.0108762,
+              0.0088949,
+              0.0032661,
+              -0.0120677,
+              -0.0530725,
+              -0.1572348
+            ],
+            [
+              0.0115019,
+              0.0107675,
+              0.008824,
+              0.0032456,
+              -0.0122025,
+              -0.0532642,
+              -0.1573991
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.003050402,
+            0.007534433,
+            0.0186099,
+            0.04596609,
+            0.1135353
+          ],
+          "values": [
+            [
+              0.0119926,
+              0.013234,
+              0.016187,
+              0.0232751,
+              0.0402616,
+              0.0824395,
+              0.1851671
+            ],
+            [
+              0.0120249,
+              0.0132737,
+              0.016224,
+              0.0233168,
+              0.0406225,
+              0.0829196,
+              0.1863399
+            ],
+            [
+              0.0120827,
+              0.0133238,
+              0.0162631,
+              0.023402,
+              0.0406831,
+              0.0826576,
+              0.1861839
+            ],
+            [
+              0.0119885,
+              0.0132087,
+              0.0161268,
+              0.0231943,
+              0.0405592,
+              0.0825284,
+              0.1872146
+            ],
+            [
+              0.0118211,
+              0.0130403,
+              0.0159293,
+              0.0229687,
+              0.0399603,
+              0.0827246,
+              0.1854002
+            ],
+            [
+              0.011647,
+              0.0128486,
+              0.0157417,
+              0.0226204,
+              0.0395996,
+              0.0823155,
+              0.1857716
+            ],
+            [
+              0.0114251,
+              0.0125892,
+              0.0155294,
+              0.0225549,
+              0.0394871,
+              0.081326,
+              0.1862914
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.003050402,
+            0.007534433,
+            0.0186099,
+            0.04596609,
+            0.1135353
+          ],
+          "values": [
+            [
+              0.0118665,
+              0.0111568,
+              0.0091649,
+              0.0034948,
+              -0.0118721,
+              -0.0528757,
+              -0.1570751
+            ],
+            [
+              0.011713,
+              0.0109776,
+              0.0089656,
+              0.0034274,
+              -0.0120002,
+              -0.0530328,
+              -0.1572088
+            ],
+            [
+              0.0115116,
+              0.0108072,
+              0.008778,
+              0.0031448,
+              -0.0122488,
+              -0.0532289,
+              -0.1574085
+            ],
+            [
+              0.0113398,
+              0.0105418,
+              0.0086222,
+              0.0029505,
+              -0.0124049,
+              -0.0534134,
+              -0.1576043
+            ],
+            [
+              0.0112004,
+              0.01047,
+              0.0084454,
+              0.0028299,
+              -0.0125443,
+              -0.0535585,
+              -0.157741
+            ],
+            [
+              0.0110478,
+              0.0103114,
+              0.008307,
+              0.0026495,
+              -0.0127245,
+              -0.0537502,
+              -0.1579136
+            ],
+            [
+              0.0108589,
+              0.0101179,
+              0.0081106,
+              0.0024241,
+              -0.0130016,
+              -0.0540401,
+              -0.1581693
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.003050402,
+            0.007534433,
+            0.0186099,
+            0.04596609,
+            0.1135353
+          ],
+          "values": [
+            [
+              0.0092856,
+              0.0104648,
+              0.0133125,
+              0.020235,
+              0.0372486,
+              0.0795426,
+              0.1815577
+            ],
+            [
+              0.0092733,
+              0.0104654,
+              0.0133109,
+              0.0202671,
+              0.0372969,
+              0.0795613,
+              0.1815767
+            ],
+            [
+              0.0091877,
+              0.0103772,
+              0.0132278,
+              0.0202074,
+              0.0372813,
+              0.0788469,
+              0.1830904
+            ],
+            [
+              0.0089487,
+              0.0101343,
+              0.0129946,
+              0.0199727,
+              0.0370729,
+              0.0795486,
+              0.1815162
+            ],
+            [
+              0.0086974,
+              0.0098747,
+              0.0127287,
+              0.019678,
+              0.0368217,
+              0.0783898,
+              0.1824337
+            ],
+            [
+              0.0084279,
+              0.00965,
+              0.0125236,
+              0.0193037,
+              0.0363207,
+              0.0787686,
+              0.1810738
+            ],
+            [
+              0.0081746,
+              0.0093696,
+              0.0122327,
+              0.0192706,
+              0.036276,
+              0.0785015,
+              0.1815508
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.113535,
+    "max_transition": 1.499957,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.0030504,
+            0.00753443,
+            0.0186099,
+            0.0459661,
+            0.113535
+          ],
+          "values": [
+            [
+              0.5789067,
+              0.5917823,
+              0.6165343,
+              0.6603247,
+              0.7373779,
+              0.8753112,
+              1.1460831
+            ],
+            [
+              0.5822746,
+              0.5952097,
+              0.6203376,
+              0.6641995,
+              0.7410809,
+              0.8793515,
+              1.1501398
+            ],
+            [
+              0.5930334,
+              0.605283,
+              0.6292307,
+              0.6740596,
+              0.7510499,
+              0.890042,
+              1.1607632
+            ],
+            [
+              0.6247788,
+              0.6376686,
+              0.66094,
+              0.7054418,
+              0.7827714,
+              0.9215654,
+              1.1930057
+            ],
+            [
+              0.7038962,
+              0.716925,
+              0.7411454,
+              0.7855744,
+              0.8625293,
+              1.0014805,
+              1.2723606
+            ],
+            [
+              0.8802851,
+              0.893113,
+              0.9163773,
+              0.9608814,
+              1.0381127,
+              1.1767034,
+              1.4488277
+            ],
+            [
+              1.2467261,
+              1.2597251,
+              1.2847411,
+              1.3290473,
+              1.4062937,
+              1.5433365,
+              1.817112
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.0030504,
+            0.00753443,
+            0.0186099,
+            0.0459661,
+            0.113535
+          ],
+          "values": [
+            [
+              0.1175265,
+              0.127296,
+              0.1488258,
+              0.1963059,
+              0.305698,
+              0.5667457,
+              1.202172
+            ],
+            [
+              0.1229605,
+              0.1326865,
+              0.1540997,
+              0.2016306,
+              0.3112662,
+              0.5722457,
+              1.2087061
+            ],
+            [
+              0.1362207,
+              0.1458899,
+              0.1671931,
+              0.2145492,
+              0.3240506,
+              0.5850799,
+              1.2212282
+            ],
+            [
+              0.1671667,
+              0.1767634,
+              0.1978168,
+              0.2445964,
+              0.3535114,
+              0.6141847,
+              1.250983
+            ],
+            [
+              0.2355851,
+              0.2451011,
+              0.2659159,
+              0.312058,
+              0.4204493,
+              0.6815762,
+              1.318101
+            ],
+            [
+              0.350488,
+              0.3605102,
+              0.3814663,
+              0.4279086,
+              0.5360644,
+              0.7964406,
+              1.4343424
+            ],
+            [
+              0.5336763,
+              0.5453284,
+              0.5686757,
+              0.6162142,
+              0.7224136,
+              0.9840465,
+              1.6199246
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.0030504,
+            0.00753443,
+            0.0186099,
+            0.0459661,
+            0.113535
+          ],
+          "values": [
+            [
+              0.058869,
+              0.0661432,
+              0.0807455,
+              0.1126121,
+              0.1707237,
+              0.2991445,
+              0.5987575
+            ],
+            [
+              0.0589115,
+              0.0664892,
+              0.0807718,
+              0.1125999,
+              0.1703439,
+              0.2990487,
+              0.5984689
+            ],
+            [
+              0.0591558,
+              0.0662473,
+              0.0829246,
+              0.1107365,
+              0.1705853,
+              0.3003883,
+              0.5985472
+            ],
+            [
+              0.0588722,
+              0.0660429,
+              0.0829073,
+              0.111308,
+              0.1716296,
+              0.3007686,
+              0.5975179
+            ],
+            [
+              0.0597944,
+              0.0669381,
+              0.0825275,
+              0.1108324,
+              0.1705812,
+              0.3004351,
+              0.5985328
+            ],
+            [
+              0.0590807,
+              0.066079,
+              0.0829186,
+              0.1110269,
+              0.171533,
+              0.2992852,
+              0.5971419
+            ],
+            [
+              0.060989,
+              0.0677949,
+              0.082035,
+              0.1119249,
+              0.1718576,
+              0.3014312,
+              0.5978011
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.0030504,
+            0.00753443,
+            0.0186099,
+            0.0459661,
+            0.113535
+          ],
+          "values": [
+            [
+              0.0346908,
+              0.0444775,
+              0.0678235,
+              0.1248594,
+              0.2682359,
+              0.6192489,
+              1.4881093
+            ],
+            [
+              0.0345671,
+              0.0442353,
+              0.067482,
+              0.124891,
+              0.2675173,
+              0.6179917,
+              1.4927237
+            ],
+            [
+              0.0344559,
+              0.0439719,
+              0.0673691,
+              0.1249241,
+              0.2670934,
+              0.618302,
+              1.4936018
+            ],
+            [
+              0.0338264,
+              0.0434359,
+              0.0667894,
+              0.1243033,
+              0.2673527,
+              0.6168117,
+              1.4903607
+            ],
+            [
+              0.0342067,
+              0.0436069,
+              0.066437,
+              0.1233749,
+              0.2660569,
+              0.6174225,
+              1.4925218
+            ],
+            [
+              0.0383364,
+              0.0473883,
+              0.0687853,
+              0.1242566,
+              0.2647798,
+              0.6198903,
+              1.4873869
+            ],
+            [
+              0.0466034,
+              0.0549865,
+              0.0751806,
+              0.1270714,
+              0.2668597,
+              0.6172409,
+              1.4865299
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.0030504,
+            0.00753443,
+            0.0186099,
+            0.0459661,
+            0.113535
+          ],
+          "values": [
+            [
+              0.6438906,
+              0.6570676,
+              0.6818789,
+              0.7265665,
+              0.8040378,
+              0.9444325,
+              1.2195492
+            ],
+            [
+              0.6483055,
+              0.6614663,
+              0.6860499,
+              0.7307031,
+              0.8088889,
+              0.9490289,
+              1.2238909
+            ],
+            [
+              0.6614277,
+              0.6746219,
+              0.6996284,
+              0.7444462,
+              0.8212575,
+              0.9611557,
+              1.2364166
+            ],
+            [
+              0.6960734,
+              0.7092608,
+              0.7339029,
+              0.7788047,
+              0.8569111,
+              0.9971899,
+              1.2719112
+            ],
+            [
+              0.7738983,
+              0.7876325,
+              0.8124696,
+              0.8564964,
+              0.9346585,
+              1.0749385,
+              1.3495272
+            ],
+            [
+              0.93736,
+              0.9502152,
+              0.9747499,
+              1.020656,
+              1.0984862,
+              1.2385033,
+              1.5137851
+            ],
+            [
+              1.2812573,
+              1.2944289,
+              1.3200092,
+              1.3648518,
+              1.4426454,
+              1.5826195,
+              1.8580295
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.0030504,
+            0.00753443,
+            0.0186099,
+            0.0459661,
+            0.113535
+          ],
+          "values": [
+            [
+              0.1218731,
+              0.1315718,
+              0.1530108,
+              0.2004886,
+              0.3099792,
+              0.5703544,
+              1.2077426
+            ],
+            [
+              0.1277861,
+              0.1374779,
+              0.1588736,
+              0.2063496,
+              0.3159235,
+              0.5766013,
+              1.2137332
+            ],
+            [
+              0.1407581,
+              0.1504067,
+              0.1717608,
+              0.2190745,
+              0.3281574,
+              0.5890929,
+              1.2251692
+            ],
+            [
+              0.1697081,
+              0.179346,
+              0.2005015,
+              0.2474841,
+              0.3565222,
+              0.6171021,
+              1.2540913
+            ],
+            [
+              0.2338282,
+              0.2434117,
+              0.2643358,
+              0.3107064,
+              0.4191381,
+              0.6803307,
+              1.3158082
+            ],
+            [
+              0.3527149,
+              0.3628981,
+              0.3841231,
+              0.4312659,
+              0.5388932,
+              0.7993453,
+              1.4356266
+            ],
+            [
+              0.5541063,
+              0.56553,
+              0.5890374,
+              0.637353,
+              0.7454067,
+              1.0073079,
+              1.6408574
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.0030504,
+            0.00753443,
+            0.0186099,
+            0.0459661,
+            0.113535
+          ],
+          "values": [
+            [
+              0.0615954,
+              0.0685028,
+              0.0834889,
+              0.1151089,
+              0.1744855,
+              0.3021328,
+              0.6004107
+            ],
+            [
+              0.0611932,
+              0.0684692,
+              0.0841608,
+              0.1132994,
+              0.1729904,
+              0.3027114,
+              0.6000322
+            ],
+            [
+              0.061189,
+              0.0682803,
+              0.082705,
+              0.1138348,
+              0.1740396,
+              0.3028811,
+              0.6004872
+            ],
+            [
+              0.0614182,
+              0.0685099,
+              0.0836324,
+              0.1142027,
+              0.174325,
+              0.3026235,
+              0.6012519
+            ],
+            [
+              0.0612316,
+              0.0686899,
+              0.0834734,
+              0.114535,
+              0.1743311,
+              0.3023614,
+              0.598775
+            ],
+            [
+              0.0613415,
+              0.0693322,
+              0.0836101,
+              0.1150886,
+              0.1755179,
+              0.3027652,
+              0.6009859
+            ],
+            [
+              0.0631504,
+              0.0697165,
+              0.0838079,
+              0.113658,
+              0.1748746,
+              0.3032239,
+              0.6007576
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.0030504,
+            0.00753443,
+            0.0186099,
+            0.0459661,
+            0.113535
+          ],
+          "values": [
+            [
+              0.0344545,
+              0.0444029,
+              0.0676259,
+              0.1252074,
+              0.2671173,
+              0.6181676,
+              1.4902222
+            ],
+            [
+              0.0344895,
+              0.0443159,
+              0.0673323,
+              0.1249785,
+              0.267423,
+              0.6189706,
+              1.4879745
+            ],
+            [
+              0.0341665,
+              0.043947,
+              0.0673236,
+              0.1250451,
+              0.2679324,
+              0.6182856,
+              1.4895895
+            ],
+            [
+              0.0339672,
+              0.0436572,
+              0.0670814,
+              0.1244996,
+              0.2673614,
+              0.6167253,
+              1.4906369
+            ],
+            [
+              0.0342958,
+              0.0436611,
+              0.0665838,
+              0.1235571,
+              0.2670926,
+              0.6201009,
+              1.4923528
+            ],
+            [
+              0.0379082,
+              0.0469147,
+              0.0692026,
+              0.1242727,
+              0.2645061,
+              0.6204723,
+              1.4947175
+            ],
+            [
+              0.0451824,
+              0.0543233,
+              0.0752587,
+              0.1275309,
+              0.2670067,
+              0.6149741,
+              1.4904318
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.0030504,
+            0.00753443,
+            0.0186099,
+            0.0459661,
+            0.113535
+          ],
+          "values": [
+            [
+              0.618189,
+              0.6313342,
+              0.6563871,
+              0.7011264,
+              0.7788433,
+              0.9189714,
+              1.1938436
+            ],
+            [
+              0.6201992,
+              0.6333571,
+              0.6580281,
+              0.703187,
+              0.7808732,
+              0.9210326,
+              1.1959106
+            ],
+            [
+              0.6299953,
+              0.6430493,
+              0.6684561,
+              0.7129592,
+              0.790443,
+              0.9308333,
+              1.2056185
+            ],
+            [
+              0.661716,
+              0.6741798,
+              0.6992054,
+              0.7437063,
+              0.8211956,
+              0.9614806,
+              1.2362792
+            ],
+            [
+              0.7387905,
+              0.751557,
+              0.7765035,
+              0.8218097,
+              0.8986297,
+              1.0392397,
+              1.3146552
+            ],
+            [
+              0.9105764,
+              0.9242926,
+              0.94866,
+              0.993245,
+              1.0711819,
+              1.2116622,
+              1.4861569
+            ],
+            [
+              1.2931209,
+              1.3066863,
+              1.3322448,
+              1.3768396,
+              1.4544547,
+              1.5945678,
+              1.8700079
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.0030504,
+            0.00753443,
+            0.0186099,
+            0.0459661,
+            0.113535
+          ],
+          "values": [
+            [
+              0.0850879,
+              0.093638,
+              0.1128823,
+              0.157202,
+              0.2625526,
+              0.5198206,
+              1.1537671
+            ],
+            [
+              0.0910328,
+              0.0995533,
+              0.1187015,
+              0.1630669,
+              0.268746,
+              0.5263578,
+              1.1596973
+            ],
+            [
+              0.1052764,
+              0.113749,
+              0.1328807,
+              0.1770848,
+              0.2825963,
+              0.5400128,
+              1.1742263
+            ],
+            [
+              0.137613,
+              0.1459335,
+              0.1648039,
+              0.2087702,
+              0.3144881,
+              0.5724731,
+              1.2078242
+            ],
+            [
+              0.2009873,
+              0.2095859,
+              0.2286777,
+              0.2726241,
+              0.3785796,
+              0.6356017,
+              1.2697965
+            ],
+            [
+              0.302783,
+              0.3123761,
+              0.3324098,
+              0.3768077,
+              0.4826651,
+              0.7400601,
+              1.3759736
+            ],
+            [
+              0.4598993,
+              0.4720938,
+              0.4955862,
+              0.5419066,
+              0.6475164,
+              0.9052399,
+              1.540021
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.0030504,
+            0.00753443,
+            0.0186099,
+            0.0459661,
+            0.113535
+          ],
+          "values": [
+            [
+              0.0612474,
+              0.0685958,
+              0.0834309,
+              0.1151124,
+              0.1730276,
+              0.3027013,
+              0.6000151
+            ],
+            [
+              0.0612315,
+              0.0685657,
+              0.0841318,
+              0.1150217,
+              0.1740361,
+              0.302708,
+              0.6000072
+            ],
+            [
+              0.061244,
+              0.0688052,
+              0.0836919,
+              0.1127814,
+              0.1754404,
+              0.3031796,
+              0.6002275
+            ],
+            [
+              0.0612062,
+              0.0686303,
+              0.0833977,
+              0.1127992,
+              0.1752016,
+              0.3030773,
+              0.6002447
+            ],
+            [
+              0.0612677,
+              0.0682311,
+              0.0836384,
+              0.1136529,
+              0.1743728,
+              0.3023446,
+              0.6002127
+            ],
+            [
+              0.0612197,
+              0.0685795,
+              0.0844469,
+              0.1135001,
+              0.1745204,
+              0.3037695,
+              0.6011484
+            ],
+            [
+              0.0630696,
+              0.0698947,
+              0.0842028,
+              0.1141373,
+              0.1747026,
+              0.3032899,
+              0.6015314
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.0030504,
+            0.00753443,
+            0.0186099,
+            0.0459661,
+            0.113535
+          ],
+          "values": [
+            [
+              0.0291569,
+              0.0383309,
+              0.0610702,
+              0.1182986,
+              0.2608541,
+              0.6151486,
+              1.4928999
+            ],
+            [
+              0.0290502,
+              0.0382552,
+              0.0609574,
+              0.1182219,
+              0.2607516,
+              0.6137765,
+              1.4884181
+            ],
+            [
+              0.028998,
+              0.0381859,
+              0.0610077,
+              0.1180741,
+              0.2614363,
+              0.6160057,
+              1.4917748
+            ],
+            [
+              0.0288703,
+              0.0379805,
+              0.06075,
+              0.1177291,
+              0.2612952,
+              0.6144229,
+              1.4878241
+            ],
+            [
+              0.030827,
+              0.0395477,
+              0.061101,
+              0.1180053,
+              0.2608203,
+              0.6149328,
+              1.4930173
+            ],
+            [
+              0.0364469,
+              0.0445187,
+              0.0649551,
+              0.1189731,
+              0.2589357,
+              0.61559,
+              1.4880382
+            ],
+            [
+              0.0487297,
+              0.0564602,
+              0.0751642,
+              0.1242832,
+              0.2621156,
+              0.6131304,
+              1.4876205
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.0030504,
+            0.00753443,
+            0.0186099,
+            0.0459661,
+            0.113535
+          ],
+          "values": [
+            [
+              0.5619589,
+              0.5753282,
+              0.6004799,
+              0.6450558,
+              0.7229006,
+              0.8633818,
+              1.138071
+            ],
+            [
+              0.5646599,
+              0.5775831,
+              0.6029092,
+              0.6473435,
+              0.7247263,
+              0.8651694,
+              1.1399055
+            ],
+            [
+              0.5736006,
+              0.5866879,
+              0.6114606,
+              0.6560636,
+              0.7342041,
+              0.8743687,
+              1.149277
+            ],
+            [
+              0.6028193,
+              0.6160059,
+              0.6406336,
+              0.6851802,
+              0.7632732,
+              0.9034304,
+              1.1783499
+            ],
+            [
+              0.6769228,
+              0.6902016,
+              0.7150328,
+              0.7592539,
+              0.8374464,
+              0.9775888,
+              1.2527042
+            ],
+            [
+              0.8553456,
+              0.867857,
+              0.8932146,
+              0.9373824,
+              1.0154657,
+              1.1558906,
+              1.430758
+            ],
+            [
+              1.2596335,
+              1.2731639,
+              1.2987369,
+              1.3436472,
+              1.4216658,
+              1.5589541,
+              1.8344493
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.0030504,
+            0.00753443,
+            0.0186099,
+            0.0459661,
+            0.113535
+          ],
+          "values": [
+            [
+              0.0817801,
+              0.0900141,
+              0.108663,
+              0.1522164,
+              0.2570608,
+              0.5145221,
+              1.1477607
+            ],
+            [
+              0.0877,
+              0.0959597,
+              0.1146345,
+              0.1580528,
+              0.2634575,
+              0.5208716,
+              1.1522246
+            ],
+            [
+              0.101517,
+              0.1097392,
+              0.1283749,
+              0.1718895,
+              0.2772547,
+              0.5334956,
+              1.1690707
+            ],
+            [
+              0.1333871,
+              0.1415098,
+              0.1599818,
+              0.2032807,
+              0.3090313,
+              0.565686,
+              1.2019201
+            ],
+            [
+              0.193806,
+              0.2023537,
+              0.2212523,
+              0.2648174,
+              0.3698234,
+              0.6282297,
+              1.2613071
+            ],
+            [
+              0.2894168,
+              0.2989868,
+              0.3194249,
+              0.3635904,
+              0.4687301,
+              0.7268387,
+              1.3606173
+            ],
+            [
+              0.4356451,
+              0.4481009,
+              0.4719671,
+              0.518603,
+              0.6239546,
+              0.8818402,
+              1.5150783
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.0030504,
+            0.00753443,
+            0.0186099,
+            0.0459661,
+            0.113535
+          ],
+          "values": [
+            [
+              0.0615382,
+              0.0685698,
+              0.0831696,
+              0.1150607,
+              0.1752403,
+              0.3025308,
+              0.6013426
+            ],
+            [
+              0.0619614,
+              0.0687478,
+              0.0840176,
+              0.1127172,
+              0.1757626,
+              0.3034607,
+              0.6000391
+            ],
+            [
+              0.0613329,
+              0.068565,
+              0.0840666,
+              0.1131811,
+              0.1739842,
+              0.302683,
+              0.6000347
+            ],
+            [
+              0.0613945,
+              0.068368,
+              0.0844254,
+              0.1131875,
+              0.1736835,
+              0.3026021,
+              0.6000171
+            ],
+            [
+              0.0613425,
+              0.0684038,
+              0.0834338,
+              0.1133065,
+              0.1731112,
+              0.3027001,
+              0.6001806
+            ],
+            [
+              0.061058,
+              0.0688617,
+              0.0846419,
+              0.113472,
+              0.173499,
+              0.3018597,
+              0.6000944
+            ],
+            [
+              0.0636325,
+              0.0705548,
+              0.0856043,
+              0.1160027,
+              0.1770075,
+              0.3035616,
+              0.6005275
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.0030504,
+            0.00753443,
+            0.0186099,
+            0.0459661,
+            0.113535
+          ],
+          "values": [
+            [
+              0.0280642,
+              0.0370282,
+              0.0595025,
+              0.1168269,
+              0.2593101,
+              0.6136067,
+              1.4898164
+            ],
+            [
+              0.0280037,
+              0.037003,
+              0.0594825,
+              0.116747,
+              0.2603789,
+              0.6137986,
+              1.494172
+            ],
+            [
+              0.028101,
+              0.0371307,
+              0.0596029,
+              0.1166735,
+              0.2603553,
+              0.6165616,
+              1.4895196
+            ],
+            [
+              0.0281394,
+              0.0370798,
+              0.0594872,
+              0.1166635,
+              0.2603064,
+              0.6152052,
+              1.4906032
+            ],
+            [
+              0.0304435,
+              0.0390218,
+              0.0605623,
+              0.1168464,
+              0.2610782,
+              0.6147493,
+              1.4842622
+            ],
+            [
+              0.0368833,
+              0.0447105,
+              0.06481,
+              0.1186516,
+              0.2591685,
+              0.6145139,
+              1.4921545
+            ],
+            [
+              0.0501158,
+              0.0573226,
+              0.0764766,
+              0.124314,
+              0.2621622,
+              0.6157634,
+              1.4864764
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.0030504,
+            0.00753443,
+            0.0186099,
+            0.0459661,
+            0.113535
+          ],
+          "values": [
+            [
+              0.4843141,
+              0.4973023,
+              0.5220214,
+              0.566206,
+              0.6442905,
+              0.7844627,
+              1.0593298
+            ],
+            [
+              0.4848467,
+              0.4977482,
+              0.5228956,
+              0.5675229,
+              0.6451409,
+              0.7857949,
+              1.0599656
+            ],
+            [
+              0.4909926,
+              0.5042992,
+              0.5289622,
+              0.5737807,
+              0.6516017,
+              0.7919901,
+              1.066818
+            ],
+            [
+              0.5167229,
+              0.5299793,
+              0.5544213,
+              0.5989136,
+              0.6768742,
+              0.8169881,
+              1.0917993
+            ],
+            [
+              0.5880995,
+              0.6012722,
+              0.6265087,
+              0.6706007,
+              0.7488177,
+              0.8892556,
+              1.163977
+            ],
+            [
+              0.7583134,
+              0.7715563,
+              0.7967067,
+              0.841428,
+              0.9188805,
+              1.0593358,
+              1.3341418
+            ],
+            [
+              1.1546551,
+              1.1682568,
+              1.1935667,
+              1.2382008,
+              1.3150188,
+              1.4555653,
+              1.7303419
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.0030504,
+            0.00753443,
+            0.0186099,
+            0.0459661,
+            0.113535
+          ],
+          "values": [
+            [
+              0.0745051,
+              0.0824491,
+              0.1005932,
+              0.1432686,
+              0.2471889,
+              0.5043291,
+              1.1415499
+            ],
+            [
+              0.0804905,
+              0.0884805,
+              0.1066486,
+              0.1493215,
+              0.2535033,
+              0.5105805,
+              1.1478427
+            ],
+            [
+              0.0945089,
+              0.1024669,
+              0.1206253,
+              0.1634601,
+              0.2679678,
+              0.5241722,
+              1.1611787
+            ],
+            [
+              0.1255842,
+              0.1335138,
+              0.1517221,
+              0.1948651,
+              0.2992255,
+              0.5564935,
+              1.191556
+            ],
+            [
+              0.1815414,
+              0.1899357,
+              0.2086957,
+              0.2519523,
+              0.3566781,
+              0.6152113,
+              1.2470608
+            ],
+            [
+              0.2699382,
+              0.2797616,
+              0.3000041,
+              0.3441236,
+              0.4489753,
+              0.705743,
+              1.3396327
+            ],
+            [
+              0.4017299,
+              0.4150791,
+              0.4401101,
+              0.4872269,
+              0.5923638,
+              0.8509485,
+              1.4829178
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.0030504,
+            0.00753443,
+            0.0186099,
+            0.0459661,
+            0.113535
+          ],
+          "values": [
+            [
+              0.0618004,
+              0.0687403,
+              0.0841679,
+              0.1138356,
+              0.1741289,
+              0.303328,
+              0.6012038
+            ],
+            [
+              0.0612866,
+              0.0694286,
+              0.0835724,
+              0.1154041,
+              0.1736078,
+              0.3032067,
+              0.6004826
+            ],
+            [
+              0.0615213,
+              0.0685532,
+              0.0840306,
+              0.1129062,
+              0.1734185,
+              0.3018786,
+              0.5999841
+            ],
+            [
+              0.0610389,
+              0.0682091,
+              0.0841909,
+              0.1136117,
+              0.1738829,
+              0.3035737,
+              0.6000463
+            ],
+            [
+              0.0622595,
+              0.0693862,
+              0.0836279,
+              0.1135551,
+              0.1736003,
+              0.3023305,
+              0.599931
+            ],
+            [
+              0.0612597,
+              0.0683973,
+              0.083079,
+              0.1144417,
+              0.172835,
+              0.3030708,
+              0.6011726
+            ],
+            [
+              0.0649144,
+              0.0715354,
+              0.0854255,
+              0.1141812,
+              0.1757532,
+              0.3019974,
+              0.5992549
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00123499,
+            0.0030504,
+            0.00753443,
+            0.0186099,
+            0.0459661,
+            0.113535
+          ],
+          "values": [
+            [
+              0.0273755,
+              0.0363565,
+              0.058889,
+              0.1163527,
+              0.2608384,
+              0.6140836,
+              1.4892059
+            ],
+            [
+              0.0273904,
+              0.03628,
+              0.0588455,
+              0.1163851,
+              0.2610802,
+              0.6146104,
+              1.4893036
+            ],
+            [
+              0.0273955,
+              0.0363075,
+              0.0588593,
+              0.1163944,
+              0.2610278,
+              0.6140105,
+              1.4999573
+            ],
+            [
+              0.0277923,
+              0.0366091,
+              0.0590626,
+              0.1162067,
+              0.2580499,
+              0.6169621,
+              1.4873763
+            ],
+            [
+              0.0306734,
+              0.0392209,
+              0.0607449,
+              0.1166169,
+              0.2590486,
+              0.6136301,
+              1.4905168
+            ],
+            [
+              0.0379325,
+              0.0455736,
+              0.0653575,
+              0.1185808,
+              0.2593654,
+              0.6130078,
+              1.4889248
+            ],
+            [
+              0.0536027,
+              0.0613069,
+              0.0785738,
+              0.1259471,
+              0.2619981,
+              0.6189146,
+              1.4850057
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__tt_025C_1v80.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__tt_025C_1v80.lib.json
new file mode 100644
index 0000000..038f4f8
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__tt_025C_1v80.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 0.001675069,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 0.0017945,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0105548,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0004483,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.000914,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0004413,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 0.0008205,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0004191,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0004435,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0017945,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0110122,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0004483,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.000914,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0004413,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 0.0008205,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0004191,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0004435,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0017945,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0108066,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0004483,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.000914,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0004413,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 0.0008205,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0004191,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0004435,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.0005702,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.0020124,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.000436,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.0005442,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0004304,
+      "when": "A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 0.0005403,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0004162,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0004352,
+      "when": "A1&A2&B1&C1&!D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.002412,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002321,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.004648,
+          0.004655,
+          0.0046712,
+          0.0046726,
+          0.0046759,
+          0.0046834,
+          0.0047007
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0037983,
+          -0.003799,
+          -0.0038006,
+          -0.003794,
+          -0.0037788,
+          -0.0037439,
+          -0.0036634
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002503
+  },
+  "pin A2": {
+    "capacitance": 0.002289,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002188,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0039949,
+          0.0039918,
+          0.0039845,
+          0.0039819,
+          0.0039757,
+          0.0039616,
+          0.003929
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0039945,
+          -0.0039925,
+          -0.0039877,
+          -0.0039881,
+          -0.0039889,
+          -0.0039908,
+          -0.0039951
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.00239
+  },
+  "pin B1": {
+    "capacitance": 0.00241,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002256,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0041808,
+          0.0041753,
+          0.0041628,
+          0.0041642,
+          0.0041673,
+          0.0041747,
+          0.0041916
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0035926,
+          -0.0036203,
+          -0.0036841,
+          -0.0036994,
+          -0.0037348,
+          -0.0038164,
+          -0.0040044
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002564
+  },
+  "pin C1": {
+    "capacitance": 0.002375,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002208,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0038916,
+          0.0038899,
+          0.0038862,
+          0.0038879,
+          0.0038919,
+          0.003901,
+          0.003922
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.003421,
+          -0.003506,
+          -0.0037018,
+          -0.0037077,
+          -0.0037213,
+          -0.0037526,
+          -0.0038248
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002542
+  },
+  "pin D1": {
+    "capacitance": 0.002347,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002134,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.00262,
+          0.0026165,
+          0.0026083,
+          0.0026152,
+          0.0026309,
+          0.0026673,
+          0.0027511
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0017862,
+          -0.0017909,
+          -0.0018017,
+          -0.0018005,
+          -0.0017976,
+          -0.0017911,
+          -0.0017759
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.00256
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001328336,
+            0.003528952,
+            0.009375265,
+            0.024907,
+            0.06616971,
+            0.1757912
+          ],
+          "values": [
+            [
+              0.0149448,
+              0.0139985,
+              0.0115268,
+              0.0038442,
+              -0.0191944,
+              -0.0851572,
+              -0.2625891
+            ],
+            [
+              0.014716,
+              0.0137918,
+              0.0113018,
+              0.0037331,
+              -0.0193966,
+              -0.0852999,
+              -0.2627784
+            ],
+            [
+              0.0144236,
+              0.0134912,
+              0.0109864,
+              0.0034834,
+              -0.0196906,
+              -0.0856293,
+              -0.2630708
+            ],
+            [
+              0.0141531,
+              0.0132663,
+              0.0107662,
+              0.0031863,
+              -0.019922,
+              -0.0858369,
+              -0.263276
+            ],
+            [
+              0.0140122,
+              0.0130803,
+              0.0105792,
+              0.0029654,
+              -0.0201544,
+              -0.0860315,
+              -0.263476
+            ],
+            [
+              0.0139266,
+              0.0129691,
+              0.0104978,
+              0.0029385,
+              -0.0202322,
+              -0.0861902,
+              -0.2635851
+            ],
+            [
+              0.0187978,
+              0.0174192,
+              0.013761,
+              0.0042739,
+              -0.0207853,
+              -0.0862526,
+              -0.2636702
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001328336,
+            0.003528952,
+            0.009375265,
+            0.024907,
+            0.06616971,
+            0.1757912
+          ],
+          "values": [
+            [
+              0.01535,
+              0.0169853,
+              0.0211357,
+              0.0314025,
+              0.0569639,
+              0.123394,
+              0.3003729
+            ],
+            [
+              0.0152378,
+              0.016875,
+              0.0210689,
+              0.031276,
+              0.0568628,
+              0.123925,
+              0.2986911
+            ],
+            [
+              0.0151158,
+              0.0167507,
+              0.0209164,
+              0.031091,
+              0.0566883,
+              0.1236972,
+              0.2987928
+            ],
+            [
+              0.0150866,
+              0.0166901,
+              0.0207649,
+              0.0307967,
+              0.0564069,
+              0.1233938,
+              0.2985778
+            ],
+            [
+              0.0151123,
+              0.0166682,
+              0.0205879,
+              0.0305136,
+              0.0560457,
+              0.1226545,
+              0.2998661
+            ],
+            [
+              0.0163157,
+              0.0176711,
+              0.0212556,
+              0.030469,
+              0.0559323,
+              0.122224,
+              0.2998603
+            ],
+            [
+              0.0178701,
+              0.0191675,
+              0.02269,
+              0.0318558,
+              0.0568748,
+              0.1237405,
+              0.2991926
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001328336,
+            0.003528952,
+            0.009375265,
+            0.024907,
+            0.06616971,
+            0.1757912
+          ],
+          "values": [
+            [
+              0.0177688,
+              0.0168299,
+              0.0142861,
+              0.0066822,
+              -0.0163199,
+              -0.0821098,
+              -0.2594237
+            ],
+            [
+              0.0176804,
+              0.0167172,
+              0.0142017,
+              0.0066413,
+              -0.0164088,
+              -0.0822058,
+              -0.2595224
+            ],
+            [
+              0.0175901,
+              0.0166197,
+              0.0140953,
+              0.0064727,
+              -0.0165491,
+              -0.0823869,
+              -0.2597209
+            ],
+            [
+              0.0173737,
+              0.0164476,
+              0.0138884,
+              0.0063834,
+              -0.0166838,
+              -0.0825131,
+              -0.2598561
+            ],
+            [
+              0.0172762,
+              0.0163347,
+              0.0137972,
+              0.0061874,
+              -0.0168591,
+              -0.0826479,
+              -0.2599415
+            ],
+            [
+              0.0172882,
+              0.0163133,
+              0.0137673,
+              0.0061912,
+              -0.0168843,
+              -0.0826804,
+              -0.2599933
+            ],
+            [
+              0.0222256,
+              0.0208098,
+              0.0172616,
+              0.0077077,
+              -0.0174073,
+              -0.082739,
+              -0.2600406
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001328336,
+            0.003528952,
+            0.009375265,
+            0.024907,
+            0.06616971,
+            0.1757912
+          ],
+          "values": [
+            [
+              0.015463,
+              0.0171039,
+              0.0212946,
+              0.0314867,
+              0.0570929,
+              0.1241113,
+              0.2991735
+            ],
+            [
+              0.0153715,
+              0.0170041,
+              0.0211497,
+              0.031391,
+              0.0569623,
+              0.123366,
+              0.3003458
+            ],
+            [
+              0.0152263,
+              0.0168629,
+              0.0210286,
+              0.0312179,
+              0.0568479,
+              0.1238692,
+              0.2989113
+            ],
+            [
+              0.0152516,
+              0.0168509,
+              0.0209523,
+              0.0310704,
+              0.0566701,
+              0.1230209,
+              0.2989175
+            ],
+            [
+              0.015309,
+              0.016836,
+              0.0208473,
+              0.0308938,
+              0.0564059,
+              0.1235849,
+              0.3000059
+            ],
+            [
+              0.0165996,
+              0.0179311,
+              0.0215319,
+              0.0307623,
+              0.0563253,
+              0.1225837,
+              0.299941
+            ],
+            [
+              0.0177203,
+              0.0190705,
+              0.0227514,
+              0.0319051,
+              0.0568276,
+              0.1236012,
+              0.2981184
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001328336,
+            0.003528952,
+            0.009375265,
+            0.024907,
+            0.06616971,
+            0.1757912
+          ],
+          "values": [
+            [
+              0.0152325,
+              0.0142988,
+              0.0117558,
+              0.0042041,
+              -0.0188415,
+              -0.0846295,
+              -0.2619764
+            ],
+            [
+              0.0150779,
+              0.0141426,
+              0.0116163,
+              0.0040504,
+              -0.0189999,
+              -0.0847916,
+              -0.2621411
+            ],
+            [
+              0.0148576,
+              0.0140208,
+              0.0113858,
+              0.0038932,
+              -0.0191821,
+              -0.0849947,
+              -0.262357
+            ],
+            [
+              0.01471,
+              0.0137563,
+              0.0112714,
+              0.003587,
+              -0.0193785,
+              -0.0851691,
+              -0.2625021
+            ],
+            [
+              0.0145976,
+              0.0136425,
+              0.0110788,
+              0.0035609,
+              -0.0195404,
+              -0.0853201,
+              -0.2626681
+            ],
+            [
+              0.0145563,
+              0.0135616,
+              0.0111124,
+              0.0034657,
+              -0.019647,
+              -0.085444,
+              -0.2627462
+            ],
+            [
+              0.0192461,
+              0.0177695,
+              0.0140674,
+              0.0045185,
+              -0.0201617,
+              -0.0855813,
+              -0.2628126
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001328336,
+            0.003528952,
+            0.009375265,
+            0.024907,
+            0.06616971,
+            0.1757912
+          ],
+          "values": [
+            [
+              0.0136037,
+              0.0151354,
+              0.0190044,
+              0.0287797,
+              0.0541618,
+              0.1202089,
+              0.2956793
+            ],
+            [
+              0.0136124,
+              0.0151408,
+              0.0190153,
+              0.0287982,
+              0.0539343,
+              0.1206643,
+              0.2970734
+            ],
+            [
+              0.0136595,
+              0.0151735,
+              0.0190036,
+              0.0287632,
+              0.0539043,
+              0.1200105,
+              0.2970331
+            ],
+            [
+              0.0135001,
+              0.0149856,
+              0.0187653,
+              0.0284921,
+              0.0536734,
+              0.120594,
+              0.2954956
+            ],
+            [
+              0.0136467,
+              0.0149833,
+              0.0186257,
+              0.0282559,
+              0.0534121,
+              0.1197658,
+              0.2967698
+            ],
+            [
+              0.0142192,
+              0.015513,
+              0.0191179,
+              0.0285716,
+              0.0536785,
+              0.1195655,
+              0.2968778
+            ],
+            [
+              0.0154766,
+              0.0167674,
+              0.0202604,
+              0.0297396,
+              0.054739,
+              0.1211301,
+              0.2965006
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001328336,
+            0.003528952,
+            0.009375265,
+            0.024907,
+            0.06616971,
+            0.1757912
+          ],
+          "values": [
+            [
+              0.0131315,
+              0.0121536,
+              0.0096917,
+              0.0019803,
+              -0.0209471,
+              -0.0867429,
+              -0.2641279
+            ],
+            [
+              0.0129489,
+              0.0120088,
+              0.009543,
+              0.0019071,
+              -0.0211457,
+              -0.0869315,
+              -0.2642892
+            ],
+            [
+              0.0127408,
+              0.0118048,
+              0.0092526,
+              0.0017947,
+              -0.0213134,
+              -0.0871605,
+              -0.2644893
+            ],
+            [
+              0.0126146,
+              0.0116513,
+              0.0091059,
+              0.0015122,
+              -0.0215031,
+              -0.0873348,
+              -0.2646561
+            ],
+            [
+              0.0124613,
+              0.0115069,
+              0.0089404,
+              0.0014199,
+              -0.021668,
+              -0.087453,
+              -0.2648006
+            ],
+            [
+              0.012476,
+              0.0114395,
+              0.008903,
+              0.0012695,
+              -0.0218294,
+              -0.0876232,
+              -0.2649094
+            ],
+            [
+              0.0175837,
+              0.0160903,
+              0.0123658,
+              0.0027698,
+              -0.0220784,
+              -0.0871114,
+              -0.2645423
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001328336,
+            0.003528952,
+            0.009375265,
+            0.024907,
+            0.06616971,
+            0.1757912
+          ],
+          "values": [
+            [
+              0.0124139,
+              0.0138752,
+              0.0176428,
+              0.0272907,
+              0.0525966,
+              0.1190191,
+              0.2951986
+            ],
+            [
+              0.0124985,
+              0.0139573,
+              0.0177238,
+              0.0273553,
+              0.0524772,
+              0.11859,
+              0.2940638
+            ],
+            [
+              0.0126462,
+              0.0140932,
+              0.0178367,
+              0.0274606,
+              0.0527777,
+              0.1186275,
+              0.2941056
+            ],
+            [
+              0.0124771,
+              0.0138953,
+              0.0176038,
+              0.027205,
+              0.0523594,
+              0.1185878,
+              0.2941119
+            ],
+            [
+              0.0125711,
+              0.0139316,
+              0.0174439,
+              0.0269914,
+              0.0520705,
+              0.1183376,
+              0.2954959
+            ],
+            [
+              0.0126989,
+              0.0140337,
+              0.0176523,
+              0.0271154,
+              0.0521863,
+              0.1185945,
+              0.2937155
+            ],
+            [
+              0.0140074,
+              0.0152955,
+              0.0188319,
+              0.0282826,
+              0.0532835,
+              0.1197277,
+              0.2951247
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001328336,
+            0.003528952,
+            0.009375265,
+            0.024907,
+            0.06616971,
+            0.1757912
+          ],
+          "values": [
+            [
+              0.012328,
+              0.0113958,
+              0.0089248,
+              0.0013077,
+              -0.0217661,
+              -0.0875464,
+              -0.2648902
+            ],
+            [
+              0.0121448,
+              0.0111997,
+              0.008607,
+              0.0010978,
+              -0.0219625,
+              -0.0877713,
+              -0.2651047
+            ],
+            [
+              0.0119034,
+              0.0108622,
+              0.0084087,
+              0.0008656,
+              -0.0222371,
+              -0.0880313,
+              -0.2653355
+            ],
+            [
+              0.0116971,
+              0.0107253,
+              0.0082544,
+              0.000675,
+              -0.0223721,
+              -0.0882026,
+              -0.2655209
+            ],
+            [
+              0.0115932,
+              0.0106289,
+              0.008173,
+              0.0005774,
+              -0.0225082,
+              -0.0883271,
+              -0.2656251
+            ],
+            [
+              0.0124448,
+              0.0118229,
+              0.009117,
+              0.0013446,
+              -0.0218143,
+              -0.0877037,
+              -0.264998
+            ],
+            [
+              0.0188575,
+              0.0173199,
+              0.0135229,
+              0.003892,
+              -0.0214068,
+              -0.0869064,
+              -0.2641717
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001328336,
+            0.003528952,
+            0.009375265,
+            0.024907,
+            0.06616971,
+            0.1757912
+          ],
+          "values": [
+            [
+              0.0092569,
+              0.0106562,
+              0.0142655,
+              0.0236749,
+              0.048497,
+              0.1151158,
+              0.2916287
+            ],
+            [
+              0.00921,
+              0.0106089,
+              0.0142243,
+              0.0236517,
+              0.0485061,
+              0.1151554,
+              0.2918199
+            ],
+            [
+              0.0090807,
+              0.0104672,
+              0.0140958,
+              0.0235515,
+              0.0484841,
+              0.1151469,
+              0.2915644
+            ],
+            [
+              0.0088955,
+              0.0102629,
+              0.0138917,
+              0.0233576,
+              0.048396,
+              0.115109,
+              0.2908169
+            ],
+            [
+              0.0089571,
+              0.0103281,
+              0.0137447,
+              0.0232338,
+              0.0482307,
+              0.1149365,
+              0.2900442
+            ],
+            [
+              0.009128,
+              0.0104505,
+              0.0140455,
+              0.0235072,
+              0.0484072,
+              0.1145972,
+              0.2914875
+            ],
+            [
+              0.0105622,
+              0.0118417,
+              0.0153279,
+              0.0247776,
+              0.049726,
+              0.1160993,
+              0.2896132
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.175791,
+    "max_transition": 1.499941,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00132834,
+            0.00352895,
+            0.00937526,
+            0.024907,
+            0.0661697,
+            0.175791
+          ],
+          "values": [
+            [
+              0.3190903,
+              0.3289237,
+              0.3495668,
+              0.3895317,
+              0.4648215,
+              0.6163044,
+              0.9633411
+            ],
+            [
+              0.3223206,
+              0.3320963,
+              0.3527997,
+              0.3926703,
+              0.4680527,
+              0.6195656,
+              0.9665978
+            ],
+            [
+              0.3323149,
+              0.3421001,
+              0.3625892,
+              0.4023682,
+              0.4776523,
+              0.6293935,
+              0.9767384
+            ],
+            [
+              0.3590631,
+              0.3690398,
+              0.3895445,
+              0.429007,
+              0.5047419,
+              0.6564694,
+              1.003735
+            ],
+            [
+              0.4177338,
+              0.4274977,
+              0.4480879,
+              0.4875928,
+              0.5633634,
+              0.7149081,
+              1.0622273
+            ],
+            [
+              0.532431,
+              0.5425175,
+              0.5631512,
+              0.6035358,
+              0.6794277,
+              0.8312054,
+              1.178729
+            ],
+            [
+              0.7254669,
+              0.7366381,
+              0.759525,
+              0.8035871,
+              0.8850058,
+              1.0440845,
+              1.3957675
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00132834,
+            0.00352895,
+            0.00937526,
+            0.024907,
+            0.0661697,
+            0.175791
+          ],
+          "values": [
+            [
+              0.0840835,
+              0.0917357,
+              0.1092,
+              0.1489394,
+              0.2451822,
+              0.4920672,
+              1.1405393
+            ],
+            [
+              0.088282,
+              0.0959815,
+              0.1134185,
+              0.1530318,
+              0.2492248,
+              0.4960878,
+              1.1430674
+            ],
+            [
+              0.0989385,
+              0.1065995,
+              0.1239122,
+              0.163302,
+              0.2595427,
+              0.5061135,
+              1.152815
+            ],
+            [
+              0.1243474,
+              0.1317477,
+              0.1486583,
+              0.1875383,
+              0.2833339,
+              0.529831,
+              1.1770668
+            ],
+            [
+              0.1669113,
+              0.1746878,
+              0.1910878,
+              0.2299491,
+              0.3260064,
+              0.5718119,
+              1.2212958
+            ],
+            [
+              0.2187389,
+              0.2278396,
+              0.2464123,
+              0.284922,
+              0.3800726,
+              0.6263021,
+              1.275283
+            ],
+            [
+              0.2571726,
+              0.2688372,
+              0.2919402,
+              0.3352114,
+              0.4300004,
+              0.6760532,
+              1.3235429
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00132834,
+            0.00352895,
+            0.00937526,
+            0.024907,
+            0.0661697,
+            0.175791
+          ],
+          "values": [
+            [
+              0.0390692,
+              0.0458549,
+              0.0611797,
+              0.0907273,
+              0.1569962,
+              0.3131986,
+              0.7382486
+            ],
+            [
+              0.0388887,
+              0.0460319,
+              0.0604493,
+              0.0906267,
+              0.1572972,
+              0.3123424,
+              0.7388531
+            ],
+            [
+              0.0389358,
+              0.0457867,
+              0.0601718,
+              0.0911997,
+              0.1573085,
+              0.3143655,
+              0.7372823
+            ],
+            [
+              0.0390684,
+              0.0456704,
+              0.0601646,
+              0.090833,
+              0.157859,
+              0.3121484,
+              0.7387103
+            ],
+            [
+              0.0389255,
+              0.0459988,
+              0.0602284,
+              0.0908982,
+              0.1559452,
+              0.3123069,
+              0.7389503
+            ],
+            [
+              0.0407768,
+              0.0473649,
+              0.0620398,
+              0.0933479,
+              0.1590979,
+              0.3147353,
+              0.7387339
+            ],
+            [
+              0.0465345,
+              0.053874,
+              0.0693723,
+              0.1020898,
+              0.1697786,
+              0.3250598,
+              0.7440078
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00132834,
+            0.00352895,
+            0.00937526,
+            0.024907,
+            0.0661697,
+            0.175791
+          ],
+          "values": [
+            [
+              0.0254432,
+              0.0325754,
+              0.050695,
+              0.0986632,
+              0.2281,
+              0.572154,
+              1.4932626
+            ],
+            [
+              0.0252966,
+              0.0325427,
+              0.0505642,
+              0.0985849,
+              0.2278359,
+              0.573472,
+              1.4946276
+            ],
+            [
+              0.0250476,
+              0.0322104,
+              0.050414,
+              0.0984678,
+              0.2272414,
+              0.5727883,
+              1.4930922
+            ],
+            [
+              0.0247733,
+              0.0317673,
+              0.0496484,
+              0.0978288,
+              0.2268608,
+              0.5718572,
+              1.4914934
+            ],
+            [
+              0.0274668,
+              0.0340614,
+              0.0517402,
+              0.0980554,
+              0.2263613,
+              0.5728195,
+              1.4956867
+            ],
+            [
+              0.0338409,
+              0.0405761,
+              0.056027,
+              0.1003293,
+              0.2273714,
+              0.5715604,
+              1.494044
+            ],
+            [
+              0.0460458,
+              0.0533903,
+              0.0687767,
+              0.1081061,
+              0.228199,
+              0.5747279,
+              1.4899872
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00132834,
+            0.00352895,
+            0.00937526,
+            0.024907,
+            0.0661697,
+            0.175791
+          ],
+          "values": [
+            [
+              0.3594698,
+              0.369991,
+              0.3915546,
+              0.4328507,
+              0.5098555,
+              0.66353,
+              1.0128205
+            ],
+            [
+              0.3636545,
+              0.3743708,
+              0.3958643,
+              0.4370524,
+              0.51419,
+              0.667838,
+              1.0171159
+            ],
+            [
+              0.3753173,
+              0.3858758,
+              0.4075253,
+              0.448797,
+              0.5263114,
+              0.6798122,
+              1.0289715
+            ],
+            [
+              0.4020018,
+              0.4125417,
+              0.4338126,
+              0.4750526,
+              0.5523861,
+              0.7061842,
+              1.0551442
+            ],
+            [
+              0.4561451,
+              0.4666785,
+              0.4882129,
+              0.5289315,
+              0.6065682,
+              0.7602695,
+              1.1095891
+            ],
+            [
+              0.5608755,
+              0.5714728,
+              0.5933402,
+              0.6349001,
+              0.711915,
+              0.865851,
+              1.2151277
+            ],
+            [
+              0.7354117,
+              0.7467312,
+              0.7706528,
+              0.8152184,
+              0.8978202,
+              1.0574788,
+              1.4101745
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00132834,
+            0.00352895,
+            0.00937526,
+            0.024907,
+            0.0661697,
+            0.175791
+          ],
+          "values": [
+            [
+              0.0880244,
+              0.0957497,
+              0.1132034,
+              0.1528188,
+              0.2491312,
+              0.4957934,
+              1.1416508
+            ],
+            [
+              0.0925671,
+              0.1001869,
+              0.1175902,
+              0.157237,
+              0.253439,
+              0.5002936,
+              1.14872
+            ],
+            [
+              0.1023329,
+              0.1099951,
+              0.1273375,
+              0.1668649,
+              0.26289,
+              0.5097833,
+              1.155824
+            ],
+            [
+              0.1251233,
+              0.1325927,
+              0.1495871,
+              0.1885837,
+              0.2846892,
+              0.530456,
+              1.1788474
+            ],
+            [
+              0.1667573,
+              0.1744005,
+              0.191744,
+              0.230717,
+              0.3262182,
+              0.5729252,
+              1.2212653
+            ],
+            [
+              0.2244172,
+              0.2333881,
+              0.2521549,
+              0.2920185,
+              0.3871029,
+              0.6330495,
+              1.2827108
+            ],
+            [
+              0.2818188,
+              0.2933477,
+              0.3159933,
+              0.3591409,
+              0.4547244,
+              0.7007356,
+              1.3472924
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00132834,
+            0.00352895,
+            0.00937526,
+            0.024907,
+            0.0661697,
+            0.175791
+          ],
+          "values": [
+            [
+              0.0438708,
+              0.0507399,
+              0.0642989,
+              0.0957662,
+              0.1600051,
+              0.3175413,
+              0.7422614
+            ],
+            [
+              0.0436301,
+              0.0502523,
+              0.0645974,
+              0.0958646,
+              0.1602365,
+              0.317531,
+              0.7427852
+            ],
+            [
+              0.0430019,
+              0.0498861,
+              0.0642083,
+              0.0947925,
+              0.1605816,
+              0.3169968,
+              0.7426369
+            ],
+            [
+              0.0430142,
+              0.0501154,
+              0.0646604,
+              0.0957839,
+              0.1611015,
+              0.3162888,
+              0.7415919
+            ],
+            [
+              0.0437022,
+              0.0506768,
+              0.0643164,
+              0.0951563,
+              0.159646,
+              0.3177603,
+              0.739557
+            ],
+            [
+              0.0442002,
+              0.0509747,
+              0.0651941,
+              0.095662,
+              0.1605663,
+              0.3179341,
+              0.7424278
+            ],
+            [
+              0.0504117,
+              0.0573907,
+              0.0741602,
+              0.1043977,
+              0.1706796,
+              0.326452,
+              0.7457623
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00132834,
+            0.00352895,
+            0.00937526,
+            0.024907,
+            0.0661697,
+            0.175791
+          ],
+          "values": [
+            [
+              0.025337,
+              0.0325569,
+              0.050681,
+              0.0987801,
+              0.2274845,
+              0.573315,
+              1.4946702
+            ],
+            [
+              0.0252911,
+              0.0324111,
+              0.0505358,
+              0.0985369,
+              0.2280276,
+              0.5722391,
+              1.4927543
+            ],
+            [
+              0.025101,
+              0.0322888,
+              0.0504267,
+              0.098495,
+              0.2278604,
+              0.5734477,
+              1.4949251
+            ],
+            [
+              0.0249341,
+              0.0320615,
+              0.0500063,
+              0.0980978,
+              0.2277742,
+              0.5726755,
+              1.4909479
+            ],
+            [
+              0.02718,
+              0.0342408,
+              0.051414,
+              0.0979232,
+              0.2269928,
+              0.5722665,
+              1.4925735
+            ],
+            [
+              0.0329031,
+              0.0394216,
+              0.0559004,
+              0.100222,
+              0.2270916,
+              0.5724417,
+              1.495658
+            ],
+            [
+              0.0434918,
+              0.0510931,
+              0.0676624,
+              0.1080043,
+              0.2288458,
+              0.5738863,
+              1.4926184
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00132834,
+            0.00352895,
+            0.00937526,
+            0.024907,
+            0.0661697,
+            0.175791
+          ],
+          "values": [
+            [
+              0.3393341,
+              0.3498677,
+              0.3714714,
+              0.4128207,
+              0.489803,
+              0.6434701,
+              0.9927731
+            ],
+            [
+              0.3417429,
+              0.3522357,
+              0.3739633,
+              0.4151378,
+              0.4921604,
+              0.6458582,
+              0.9951169
+            ],
+            [
+              0.3510458,
+              0.3614695,
+              0.3830864,
+              0.424072,
+              0.5013865,
+              0.6552513,
+              1.0044376
+            ],
+            [
+              0.3761652,
+              0.3865262,
+              0.4082083,
+              0.4494436,
+              0.5263351,
+              0.6800285,
+              1.029227
+            ],
+            [
+              0.4326281,
+              0.4433414,
+              0.4647781,
+              0.5056752,
+              0.5832019,
+              0.7368999,
+              1.0861703
+            ],
+            [
+              0.5536628,
+              0.5643304,
+              0.5862446,
+              0.6280919,
+              0.7052632,
+              0.8591741,
+              1.2084369
+            ],
+            [
+              0.7741325,
+              0.7860201,
+              0.8107316,
+              0.8568278,
+              0.9412007,
+              1.1024732,
+              1.4563075
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00132834,
+            0.00352895,
+            0.00937526,
+            0.024907,
+            0.0661697,
+            0.175791
+          ],
+          "values": [
+            [
+              0.0636804,
+              0.0702286,
+              0.0854631,
+              0.1220142,
+              0.2152184,
+              0.458705,
+              1.1044814
+            ],
+            [
+              0.0684957,
+              0.0750651,
+              0.0902833,
+              0.1268027,
+              0.219685,
+              0.4640861,
+              1.1113639
+            ],
+            [
+              0.0798744,
+              0.0863642,
+              0.1014471,
+              0.1378466,
+              0.2307515,
+              0.4751651,
+              1.122631
+            ],
+            [
+              0.1042312,
+              0.1107012,
+              0.1256886,
+              0.16185,
+              0.2551892,
+              0.4991096,
+              1.1437774
+            ],
+            [
+              0.1388102,
+              0.1461107,
+              0.1619729,
+              0.1984673,
+              0.2913217,
+              0.5355452,
+              1.1841924
+            ],
+            [
+              0.1742402,
+              0.1833706,
+              0.2020458,
+              0.2399973,
+              0.3330112,
+              0.576772,
+              1.2250434
+            ],
+            [
+              0.1871855,
+              0.1994102,
+              0.223763,
+              0.2678604,
+              0.3616296,
+              0.6062204,
+              1.2511664
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00132834,
+            0.00352895,
+            0.00937526,
+            0.024907,
+            0.0661697,
+            0.175791
+          ],
+          "values": [
+            [
+              0.0437775,
+              0.0507887,
+              0.0645389,
+              0.0957586,
+              0.1614305,
+              0.3175049,
+              0.7427157
+            ],
+            [
+              0.0437038,
+              0.0507091,
+              0.0647523,
+              0.0945574,
+              0.1614945,
+              0.3174732,
+              0.7433115
+            ],
+            [
+              0.0430285,
+              0.0502587,
+              0.064341,
+              0.0961732,
+              0.161169,
+              0.3176947,
+              0.7398721
+            ],
+            [
+              0.0430522,
+              0.0497144,
+              0.0652657,
+              0.0945576,
+              0.1614348,
+              0.317259,
+              0.7432553
+            ],
+            [
+              0.0434596,
+              0.0502163,
+              0.0643508,
+              0.0961523,
+              0.161992,
+              0.3181203,
+              0.7404354
+            ],
+            [
+              0.0455721,
+              0.0520366,
+              0.0662925,
+              0.0972447,
+              0.1626215,
+              0.318272,
+              0.7423014
+            ],
+            [
+              0.0539693,
+              0.0609033,
+              0.0778256,
+              0.108141,
+              0.1753711,
+              0.3279352,
+              0.7441815
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00132834,
+            0.00352895,
+            0.00937526,
+            0.024907,
+            0.0661697,
+            0.175791
+          ],
+          "values": [
+            [
+              0.0210657,
+              0.0275411,
+              0.0448885,
+              0.0927056,
+              0.2229618,
+              0.5681204,
+              1.4929451
+            ],
+            [
+              0.0210419,
+              0.0275286,
+              0.0449262,
+              0.0927037,
+              0.2224762,
+              0.569112,
+              1.4940214
+            ],
+            [
+              0.0208809,
+              0.0273894,
+              0.0448075,
+              0.0926644,
+              0.2223974,
+              0.5694518,
+              1.493546
+            ],
+            [
+              0.0216975,
+              0.0278821,
+              0.0449,
+              0.0923978,
+              0.222378,
+              0.5694746,
+              1.4886246
+            ],
+            [
+              0.0261871,
+              0.0320026,
+              0.0476385,
+              0.0935079,
+              0.2227658,
+              0.5701083,
+              1.4915755
+            ],
+            [
+              0.0351052,
+              0.0405499,
+              0.0549873,
+              0.0968749,
+              0.2233602,
+              0.5679143,
+              1.4940095
+            ],
+            [
+              0.0482355,
+              0.0551261,
+              0.0701301,
+              0.1079765,
+              0.2261601,
+              0.5714155,
+              1.4894329
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00132834,
+            0.00352895,
+            0.00937526,
+            0.024907,
+            0.0661697,
+            0.175791
+          ],
+          "values": [
+            [
+              0.3011523,
+              0.3115468,
+              0.3332296,
+              0.3745168,
+              0.4511412,
+              0.6049143,
+              0.9539955
+            ],
+            [
+              0.3033876,
+              0.3137829,
+              0.3354221,
+              0.3768289,
+              0.4542033,
+              0.6070895,
+              0.956034
+            ],
+            [
+              0.3119252,
+              0.3225163,
+              0.344017,
+              0.3848583,
+              0.4624144,
+              0.6161989,
+              0.9652688
+            ],
+            [
+              0.3359958,
+              0.3464662,
+              0.3680553,
+              0.4094126,
+              0.4868986,
+              0.6404866,
+              0.9896872
+            ],
+            [
+              0.3936973,
+              0.4044269,
+              0.4258908,
+              0.4669254,
+              0.5442725,
+              0.6980646,
+              1.0472715
+            ],
+            [
+              0.5249393,
+              0.5356697,
+              0.5577225,
+              0.5996074,
+              0.6775812,
+              0.8317315,
+              1.1811114
+            ],
+            [
+              0.7650701,
+              0.7773504,
+              0.8031371,
+              0.8496877,
+              0.9341286,
+              1.0925684,
+              1.4465286
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00132834,
+            0.00352895,
+            0.00937526,
+            0.024907,
+            0.0661697,
+            0.175791
+          ],
+          "values": [
+            [
+              0.0602701,
+              0.0666016,
+              0.0813854,
+              0.1172064,
+              0.2097006,
+              0.4537443,
+              1.1012151
+            ],
+            [
+              0.0651033,
+              0.0714248,
+              0.0861847,
+              0.1220496,
+              0.2143847,
+              0.4577487,
+              1.1033011
+            ],
+            [
+              0.0762066,
+              0.0824837,
+              0.0971569,
+              0.1329918,
+              0.2257849,
+              0.4687789,
+              1.1147419
+            ],
+            [
+              0.0990028,
+              0.1053889,
+              0.1201538,
+              0.1559731,
+              0.2483493,
+              0.4922118,
+              1.1383721
+            ],
+            [
+              0.1295375,
+              0.1368108,
+              0.1525907,
+              0.1888009,
+              0.2812161,
+              0.5252799,
+              1.1712337
+            ],
+            [
+              0.1582018,
+              0.167707,
+              0.1864661,
+              0.2245319,
+              0.3171472,
+              0.5608062,
+              1.2071658
+            ],
+            [
+              0.1590991,
+              0.1717613,
+              0.1967573,
+              0.2417342,
+              0.3354891,
+              0.5796997,
+              1.2249126
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00132834,
+            0.00352895,
+            0.00937526,
+            0.024907,
+            0.0661697,
+            0.175791
+          ],
+          "values": [
+            [
+              0.0432811,
+              0.0497532,
+              0.0654149,
+              0.0945718,
+              0.1610237,
+              0.3166531,
+              0.7438703
+            ],
+            [
+              0.0430168,
+              0.0497754,
+              0.0654591,
+              0.0946862,
+              0.1595373,
+              0.3161698,
+              0.7427433
+            ],
+            [
+              0.0431449,
+              0.0501829,
+              0.0642839,
+              0.0951441,
+              0.1614036,
+              0.3165402,
+              0.7413028
+            ],
+            [
+              0.0431803,
+              0.0498549,
+              0.0642295,
+              0.0948111,
+              0.1609677,
+              0.3172239,
+              0.7426638
+            ],
+            [
+              0.043399,
+              0.0501725,
+              0.0643075,
+              0.0954885,
+              0.1611962,
+              0.317733,
+              0.7411498
+            ],
+            [
+              0.045921,
+              0.0527959,
+              0.0672237,
+              0.0964296,
+              0.1625705,
+              0.3181032,
+              0.7423723
+            ],
+            [
+              0.0582208,
+              0.0658259,
+              0.0801414,
+              0.1109312,
+              0.1752642,
+              0.3288714,
+              0.7462974
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00132834,
+            0.00352895,
+            0.00937526,
+            0.024907,
+            0.0661697,
+            0.175791
+          ],
+          "values": [
+            [
+              0.0200969,
+              0.0264554,
+              0.0437325,
+              0.0915487,
+              0.2224807,
+              0.5698914,
+              1.4919915
+            ],
+            [
+              0.0200713,
+              0.0264505,
+              0.043695,
+              0.0916467,
+              0.2223056,
+              0.5693364,
+              1.4906854
+            ],
+            [
+              0.0200495,
+              0.0264587,
+              0.0437539,
+              0.0914765,
+              0.2219544,
+              0.5697729,
+              1.4944505
+            ],
+            [
+              0.0213702,
+              0.0274396,
+              0.0442047,
+              0.0916843,
+              0.2221821,
+              0.5700579,
+              1.4937632
+            ],
+            [
+              0.0261287,
+              0.0317806,
+              0.0472286,
+              0.0929114,
+              0.2217534,
+              0.5700935,
+              1.4942241
+            ],
+            [
+              0.0355943,
+              0.0412962,
+              0.0553871,
+              0.0966399,
+              0.2231374,
+              0.5678676,
+              1.4930703
+            ],
+            [
+              0.0497108,
+              0.0568038,
+              0.0723863,
+              0.1094207,
+              0.2261709,
+              0.5720945,
+              1.4877709
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00132834,
+            0.00352895,
+            0.00937526,
+            0.024907,
+            0.0661697,
+            0.175791
+          ],
+          "values": [
+            [
+              0.2473589,
+              0.2578767,
+              0.279537,
+              0.3209178,
+              0.397926,
+              0.5516649,
+              0.9009031
+            ],
+            [
+              0.2485475,
+              0.2589751,
+              0.2805662,
+              0.3216066,
+              0.3989378,
+              0.5530332,
+              0.9021137
+            ],
+            [
+              0.2546061,
+              0.2648829,
+              0.2864597,
+              0.3277259,
+              0.4051399,
+              0.5588892,
+              0.9081983
+            ],
+            [
+              0.2778454,
+              0.2881622,
+              0.3097453,
+              0.3511371,
+              0.4285267,
+              0.5819825,
+              0.9310154
+            ],
+            [
+              0.3367872,
+              0.3472485,
+              0.3687806,
+              0.409968,
+              0.4874922,
+              0.6410074,
+              0.9900076
+            ],
+            [
+              0.478737,
+              0.4893705,
+              0.5110559,
+              0.5519556,
+              0.6289129,
+              0.7825909,
+              1.1316854
+            ],
+            [
+              0.7192442,
+              0.7318585,
+              0.7585345,
+              0.8047458,
+              0.8852681,
+              1.0415516,
+              1.3958803
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00132834,
+            0.00352895,
+            0.00937526,
+            0.024907,
+            0.0661697,
+            0.175791
+          ],
+          "values": [
+            [
+              0.0535721,
+              0.0596494,
+              0.0738941,
+              0.1088234,
+              0.2001901,
+              0.4439459,
+              1.0945471
+            ],
+            [
+              0.0583437,
+              0.064413,
+              0.0786526,
+              0.1137599,
+              0.2053974,
+              0.4486207,
+              1.0967097
+            ],
+            [
+              0.06928,
+              0.0753079,
+              0.0895226,
+              0.1247745,
+              0.2167038,
+              0.4602358,
+              1.1118598
+            ],
+            [
+              0.0897087,
+              0.0960593,
+              0.110644,
+              0.1459227,
+              0.2382114,
+              0.4811504,
+              1.1297338
+            ],
+            [
+              0.115031,
+              0.1224717,
+              0.1382904,
+              0.1742716,
+              0.2662983,
+              0.5106973,
+              1.1551733
+            ],
+            [
+              0.135207,
+              0.1452878,
+              0.1646696,
+              0.2030699,
+              0.2951973,
+              0.5385046,
+              1.1866097
+            ],
+            [
+              0.1239338,
+              0.1372535,
+              0.1636653,
+              0.2100409,
+              0.3044862,
+              0.5469789,
+              1.1928603
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00132834,
+            0.00352895,
+            0.00937526,
+            0.024907,
+            0.0661697,
+            0.175791
+          ],
+          "values": [
+            [
+              0.0429595,
+              0.0496558,
+              0.0653937,
+              0.0946086,
+              0.1599681,
+              0.3173342,
+              0.7433879
+            ],
+            [
+              0.0432642,
+              0.0505936,
+              0.0643092,
+              0.0957585,
+              0.1613658,
+              0.3163494,
+              0.7412822
+            ],
+            [
+              0.0432018,
+              0.0501548,
+              0.0647752,
+              0.0954436,
+              0.1595072,
+              0.317444,
+              0.741455
+            ],
+            [
+              0.0432442,
+              0.0498363,
+              0.0645903,
+              0.0951084,
+              0.1605607,
+              0.31615,
+              0.7409089
+            ],
+            [
+              0.0427671,
+              0.0493969,
+              0.0649966,
+              0.0956289,
+              0.1616674,
+              0.3164569,
+              0.7415055
+            ],
+            [
+              0.0466531,
+              0.053344,
+              0.0665588,
+              0.0959869,
+              0.1621598,
+              0.3162744,
+              0.7416454
+            ],
+            [
+              0.0623616,
+              0.0692327,
+              0.0838778,
+              0.1109674,
+              0.1714782,
+              0.3257857,
+              0.7470813
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00132834,
+            0.00352895,
+            0.00937526,
+            0.024907,
+            0.0661697,
+            0.175791
+          ],
+          "values": [
+            [
+              0.019371,
+              0.0257544,
+              0.0430966,
+              0.0911177,
+              0.2218338,
+              0.5707849,
+              1.4998913
+            ],
+            [
+              0.0193748,
+              0.0257785,
+              0.0430416,
+              0.0911081,
+              0.2214844,
+              0.5705008,
+              1.4999412
+            ],
+            [
+              0.0195016,
+              0.0258628,
+              0.0431215,
+              0.0911922,
+              0.2216915,
+              0.5710344,
+              1.4998575
+            ],
+            [
+              0.0216109,
+              0.0275779,
+              0.0440799,
+              0.0911708,
+              0.221752,
+              0.5712891,
+              1.4970206
+            ],
+            [
+              0.0272298,
+              0.0326565,
+              0.0476733,
+              0.0928503,
+              0.2215701,
+              0.5704672,
+              1.4925718
+            ],
+            [
+              0.0377072,
+              0.0436114,
+              0.0574321,
+              0.0973387,
+              0.2226521,
+              0.5670922,
+              1.4942881
+            ],
+            [
+              0.0536088,
+              0.0610433,
+              0.0763432,
+              0.1126354,
+              0.2266385,
+              0.5732466,
+              1.4889554
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_1__tt_100C_1v80.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__tt_100C_1v80.lib.json
new file mode 100644
index 0000000..fcf5f06
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_1__tt_100C_1v80.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 11.2608,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 0.4065784,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 0.1507075,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.0578729,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0724,
+      "when": "A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.6534213,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 2.1805531,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0906945,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.3374916,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0855752,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 0.2947411,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.061578,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0820735,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.6534125,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 2.2065325,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0906944,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.3374895,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0855751,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 0.2947396,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.061578,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0820735,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.6534098,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 2.196562,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0906944,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.3374888,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0855751,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 0.2947391,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 0.061578,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 0.0820734,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 0.1888517,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 0.8303357,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 0.0773164,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 0.1580757,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 0.0746042,
+      "when": "A1&A2&B1&!C1&D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.002479,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002404,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0048347,
+          0.0048383,
+          0.0048468,
+          0.0048464,
+          0.0048456,
+          0.0048446,
+          0.0048424
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.003967,
+          -0.0039718,
+          -0.0039824,
+          -0.0039746,
+          -0.0039563,
+          -0.0039133,
+          -0.0038136
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002553
+  },
+  "pin A2": {
+    "capacitance": 0.002358,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002263,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0041897,
+          0.0041823,
+          0.0041655,
+          0.004168,
+          0.0041742,
+          0.004189,
+          0.0042234
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0041646,
+          -0.0041642,
+          -0.0041627,
+          -0.0041614,
+          -0.0041578,
+          -0.0041489,
+          -0.0041278
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002453
+  },
+  "pin B1": {
+    "capacitance": 0.002465,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002316,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0045181,
+          0.0045134,
+          0.0045027,
+          0.0045024,
+          0.004502,
+          0.0045011,
+          0.0044992
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0037027,
+          -0.0037411,
+          -0.003829,
+          -0.0038451,
+          -0.0038818,
+          -0.0039656,
+          -0.0041584
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002615
+  },
+  "pin C1": {
+    "capacitance": 0.002425,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002257,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0040964,
+          0.0040925,
+          0.0040836,
+          0.0040831,
+          0.004082,
+          0.0040795,
+          0.0040741
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0033886,
+          -0.0035052,
+          -0.0037732,
+          -0.0037845,
+          -0.0038099,
+          -0.003868,
+          -0.0040015
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002593
+  },
+  "pin D1": {
+    "capacitance": 0.002392,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002181,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0026258,
+          0.0026244,
+          0.0026214,
+          0.0026294,
+          0.0026481,
+          0.0026912,
+          0.0027906
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0017606,
+          -0.0017593,
+          -0.0017559,
+          -0.0017558,
+          -0.0017553,
+          -0.0017537,
+          -0.0017497
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002603
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001339623,
+            0.003589181,
+            0.0096163,
+            0.02576444,
+            0.06902928,
+            0.1849465
+          ],
+          "values": [
+            [
+              0.0172369,
+              0.0159666,
+              0.013191,
+              0.0051912,
+              -0.0191297,
+              -0.0885983,
+              -0.2763048
+            ],
+            [
+              0.0170581,
+              0.0157865,
+              0.013011,
+              0.005014,
+              -0.0193115,
+              -0.088782,
+              -0.2764808
+            ],
+            [
+              0.0167809,
+              0.0155223,
+              0.0127451,
+              0.0047491,
+              -0.0196325,
+              -0.0890789,
+              -0.2767701
+            ],
+            [
+              0.0165044,
+              0.0152277,
+              0.0124497,
+              0.0044938,
+              -0.0199055,
+              -0.0893488,
+              -0.2770341
+            ],
+            [
+              0.016269,
+              0.014996,
+              0.012221,
+              0.0042688,
+              -0.0201159,
+              -0.0895814,
+              -0.2772315
+            ],
+            [
+              0.016291,
+              0.0149969,
+              0.0122612,
+              0.0042457,
+              -0.0201956,
+              -0.0896458,
+              -0.2773195
+            ],
+            [
+              0.0221753,
+              0.0205724,
+              0.0165772,
+              0.0065068,
+              -0.0197529,
+              -0.0891039,
+              -0.2768113
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001339623,
+            0.003589181,
+            0.0096163,
+            0.02576444,
+            0.06902928,
+            0.1849465
+          ],
+          "values": [
+            [
+              0.0164804,
+              0.0180643,
+              0.022294,
+              0.0328545,
+              0.0594795,
+              0.1289657,
+              0.3159577
+            ],
+            [
+              0.0163442,
+              0.0179548,
+              0.0221681,
+              0.0327224,
+              0.059311,
+              0.1290124,
+              0.3158819
+            ],
+            [
+              0.0161869,
+              0.0178035,
+              0.0220128,
+              0.0325104,
+              0.0591706,
+              0.1287937,
+              0.3146785
+            ],
+            [
+              0.0161196,
+              0.0178429,
+              0.021939,
+              0.0324014,
+              0.0589612,
+              0.1290829,
+              0.315557
+            ],
+            [
+              0.0165284,
+              0.0180308,
+              0.0220321,
+              0.032213,
+              0.0587393,
+              0.1290334,
+              0.3143021
+            ],
+            [
+              0.0186391,
+              0.0199214,
+              0.0234668,
+              0.0329344,
+              0.0590513,
+              0.1286024,
+              0.3143062
+            ],
+            [
+              0.0223914,
+              0.0236123,
+              0.0270578,
+              0.036472,
+              0.0621493,
+              0.1317518,
+              0.316139
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001339623,
+            0.003589181,
+            0.0096163,
+            0.02576444,
+            0.06902928,
+            0.1849465
+          ],
+          "values": [
+            [
+              0.0203902,
+              0.0190285,
+              0.0162926,
+              0.0081986,
+              -0.0160947,
+              -0.0854399,
+              -0.2730674
+            ],
+            [
+              0.0202549,
+              0.0189331,
+              0.0161592,
+              0.0080976,
+              -0.016199,
+              -0.0855471,
+              -0.2731596
+            ],
+            [
+              0.0201673,
+              0.018804,
+              0.0160339,
+              0.0079704,
+              -0.0163242,
+              -0.0856693,
+              -0.2732886
+            ],
+            [
+              0.019979,
+              0.018661,
+              0.0158529,
+              0.0078158,
+              -0.0164734,
+              -0.0858257,
+              -0.2734385
+            ],
+            [
+              0.019885,
+              0.0185435,
+              0.0156632,
+              0.0076625,
+              -0.0166358,
+              -0.0859939,
+              -0.273606
+            ],
+            [
+              0.0200249,
+              0.0186498,
+              0.0157305,
+              0.0076568,
+              -0.0166899,
+              -0.0860379,
+              -0.2736661
+            ],
+            [
+              0.0259045,
+              0.0243272,
+              0.0204099,
+              0.0102327,
+              -0.0161966,
+              -0.0854925,
+              -0.2730854
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001339623,
+            0.003589181,
+            0.0096163,
+            0.02576444,
+            0.06902928,
+            0.1849465
+          ],
+          "values": [
+            [
+              0.0165845,
+              0.0181908,
+              0.0224157,
+              0.0329454,
+              0.0596258,
+              0.129167,
+              0.3148883
+            ],
+            [
+              0.0164654,
+              0.0180414,
+              0.0222776,
+              0.0328402,
+              0.0594488,
+              0.1290154,
+              0.3159401
+            ],
+            [
+              0.0162914,
+              0.0179076,
+              0.0221208,
+              0.0326312,
+              0.0592255,
+              0.1289669,
+              0.3146043
+            ],
+            [
+              0.0162896,
+              0.0178504,
+              0.0220479,
+              0.0325323,
+              0.0590532,
+              0.1288034,
+              0.315616
+            ],
+            [
+              0.0165573,
+              0.0181191,
+              0.0221685,
+              0.0323293,
+              0.0588981,
+              0.1286659,
+              0.3141017
+            ],
+            [
+              0.0183003,
+              0.0197022,
+              0.0232799,
+              0.0326932,
+              0.0591882,
+              0.1287253,
+              0.3157462
+            ],
+            [
+              0.0217956,
+              0.0230258,
+              0.0265201,
+              0.036047,
+              0.0619486,
+              0.1315763,
+              0.3173675
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001339623,
+            0.003589181,
+            0.0096163,
+            0.02576444,
+            0.06902928,
+            0.1849465
+          ],
+          "values": [
+            [
+              0.0174889,
+              0.016162,
+              0.0132703,
+              0.0052712,
+              -0.0190462,
+              -0.0883518,
+              -0.276024
+            ],
+            [
+              0.0173457,
+              0.0160259,
+              0.0131558,
+              0.0051607,
+              -0.0191644,
+              -0.0885322,
+              -0.2761618
+            ],
+            [
+              0.0171366,
+              0.0157574,
+              0.0129665,
+              0.0049061,
+              -0.0193854,
+              -0.0887355,
+              -0.2763658
+            ],
+            [
+              0.0169652,
+              0.0156163,
+              0.0127664,
+              0.0047118,
+              -0.0195743,
+              -0.0889269,
+              -0.276563
+            ],
+            [
+              0.0167781,
+              0.0154877,
+              0.0126257,
+              0.0046007,
+              -0.0197492,
+              -0.0890987,
+              -0.2766703
+            ],
+            [
+              0.0170299,
+              0.0156554,
+              0.012665,
+              0.0045931,
+              -0.0197534,
+              -0.089122,
+              -0.2767147
+            ],
+            [
+              0.0235443,
+              0.0218923,
+              0.0177876,
+              0.0075489,
+              -0.0186079,
+              -0.0878084,
+              -0.2754921
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001339623,
+            0.003589181,
+            0.0096163,
+            0.02576444,
+            0.06902928,
+            0.1849465
+          ],
+          "values": [
+            [
+              0.0143258,
+              0.0158507,
+              0.019798,
+              0.0298815,
+              0.0560638,
+              0.1251971,
+              0.310679
+            ],
+            [
+              0.0143276,
+              0.0158484,
+              0.0198028,
+              0.0298877,
+              0.0560866,
+              0.1259942,
+              0.3120714
+            ],
+            [
+              0.0143534,
+              0.015865,
+              0.0198101,
+              0.0298638,
+              0.0560318,
+              0.1258637,
+              0.3110768
+            ],
+            [
+              0.0143188,
+              0.0158097,
+              0.0196591,
+              0.0296562,
+              0.0558405,
+              0.1257365,
+              0.3109679
+            ],
+            [
+              0.0147352,
+              0.0160676,
+              0.0197745,
+              0.0296495,
+              0.0557899,
+              0.1258553,
+              0.3105717
+            ],
+            [
+              0.0161798,
+              0.0174512,
+              0.0210324,
+              0.0306272,
+              0.0566248,
+              0.1254976,
+              0.3124547
+            ],
+            [
+              0.0200961,
+              0.0213063,
+              0.0247083,
+              0.0342455,
+              0.0599549,
+              0.1292822,
+              0.3133972
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001339623,
+            0.003589181,
+            0.0096163,
+            0.02576444,
+            0.06902928,
+            0.1849465
+          ],
+          "values": [
+            [
+              0.0152,
+              0.0138889,
+              0.0110325,
+              0.0030001,
+              -0.0212942,
+              -0.0906202,
+              -0.2782282
+            ],
+            [
+              0.0150471,
+              0.0137392,
+              0.010834,
+              0.002847,
+              -0.0214468,
+              -0.0907713,
+              -0.2783861
+            ],
+            [
+              0.0148389,
+              0.0134866,
+              0.0106563,
+              0.0026905,
+              -0.0216365,
+              -0.0909581,
+              -0.2785916
+            ],
+            [
+              0.0147318,
+              0.0133794,
+              0.0105344,
+              0.0024872,
+              -0.0218367,
+              -0.0911923,
+              -0.2788391
+            ],
+            [
+              0.0145141,
+              0.0131542,
+              0.0102893,
+              0.0022676,
+              -0.0220505,
+              -0.091371,
+              -0.2789285
+            ],
+            [
+              0.0149749,
+              0.0136396,
+              0.0107213,
+              0.0026147,
+              -0.0216184,
+              -0.0910407,
+              -0.278655
+            ],
+            [
+              0.0227218,
+              0.0210118,
+              0.0168659,
+              0.0069347,
+              -0.0197394,
+              -0.088869,
+              -0.2765228
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001339623,
+            0.003589181,
+            0.0096163,
+            0.02576444,
+            0.06902928,
+            0.1849465
+          ],
+          "values": [
+            [
+              0.0127953,
+              0.0142593,
+              0.0181006,
+              0.0280411,
+              0.0542888,
+              0.1239725,
+              0.3099998
+            ],
+            [
+              0.0129065,
+              0.0143757,
+              0.0182071,
+              0.0281426,
+              0.0542506,
+              0.1235167,
+              0.3090246
+            ],
+            [
+              0.0131342,
+              0.0145824,
+              0.0184027,
+              0.0283369,
+              0.0544187,
+              0.1236468,
+              0.3105377
+            ],
+            [
+              0.0130844,
+              0.0145076,
+              0.0182701,
+              0.0281647,
+              0.0543192,
+              0.1237185,
+              0.3092657
+            ],
+            [
+              0.0135022,
+              0.014828,
+              0.0183887,
+              0.0282324,
+              0.0541797,
+              0.1236824,
+              0.309253
+            ],
+            [
+              0.0147334,
+              0.0160316,
+              0.0195975,
+              0.0292393,
+              0.0550774,
+              0.1239728,
+              0.3095422
+            ],
+            [
+              0.0185036,
+              0.0197474,
+              0.0231777,
+              0.0326806,
+              0.0583902,
+              0.127527,
+              0.3118853
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001339623,
+            0.003589181,
+            0.0096163,
+            0.02576444,
+            0.06902928,
+            0.1849465
+          ],
+          "values": [
+            [
+              0.0143636,
+              0.0130113,
+              0.0101901,
+              0.0021585,
+              -0.0221448,
+              -0.0914714,
+              -0.2790743
+            ],
+            [
+              0.014116,
+              0.0127944,
+              0.0099758,
+              0.0019444,
+              -0.022323,
+              -0.0916906,
+              -0.2792966
+            ],
+            [
+              0.0138849,
+              0.012566,
+              0.009733,
+              0.0017219,
+              -0.0226205,
+              -0.0919276,
+              -0.2795584
+            ],
+            [
+              0.0137798,
+              0.0124169,
+              0.0095643,
+              0.0015906,
+              -0.0227364,
+              -0.0920457,
+              -0.2796681
+            ],
+            [
+              0.0139493,
+              0.0126562,
+              0.0097841,
+              0.0017763,
+              -0.0225424,
+              -0.09188,
+              -0.2794777
+            ],
+            [
+              0.0154457,
+              0.0140517,
+              0.0112117,
+              0.0031627,
+              -0.0212501,
+              -0.090676,
+              -0.2783199
+            ],
+            [
+              0.0244991,
+              0.0227787,
+              0.0186334,
+              0.0085543,
+              -0.018105,
+              -0.0873599,
+              -0.275014
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001339623,
+            0.003589181,
+            0.0096163,
+            0.02576444,
+            0.06902928,
+            0.1849465
+          ],
+          "values": [
+            [
+              0.0094251,
+              0.010836,
+              0.0145472,
+              0.0242552,
+              0.0502972,
+              0.1192625,
+              0.3054237
+            ],
+            [
+              0.0093823,
+              0.0108019,
+              0.0145119,
+              0.0242535,
+              0.0500538,
+              0.1198667,
+              0.3067194
+            ],
+            [
+              0.0092986,
+              0.0107014,
+              0.0144017,
+              0.0241649,
+              0.0502899,
+              0.1193035,
+              0.3053181
+            ],
+            [
+              0.0093097,
+              0.0106891,
+              0.014354,
+              0.0241002,
+              0.0502037,
+              0.1193469,
+              0.3053181
+            ],
+            [
+              0.0097403,
+              0.011072,
+              0.0145284,
+              0.0242514,
+              0.0500664,
+              0.1193685,
+              0.3067226
+            ],
+            [
+              0.0110453,
+              0.0122918,
+              0.0158758,
+              0.0254943,
+              0.0511971,
+              0.1199105,
+              0.3075024
+            ],
+            [
+              0.0152904,
+              0.0164779,
+              0.0198852,
+              0.0293838,
+              0.0550686,
+              0.1239689,
+              0.3092347
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.184946,
+    "max_transition": 1.504135,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133962,
+            0.00358918,
+            0.0096163,
+            0.0257644,
+            0.0690293,
+            0.184946
+          ],
+          "values": [
+            [
+              0.3031843,
+              0.3131941,
+              0.3344698,
+              0.3773469,
+              0.4614191,
+              0.633263,
+              1.0387151
+            ],
+            [
+              0.30606,
+              0.3160758,
+              0.3373423,
+              0.3802756,
+              0.4643328,
+              0.6361628,
+              1.0415951
+            ],
+            [
+              0.3148294,
+              0.3246328,
+              0.3461101,
+              0.3888711,
+              0.4730282,
+              0.644903,
+              1.0504848
+            ],
+            [
+              0.3367169,
+              0.3464651,
+              0.3678107,
+              0.4107425,
+              0.4948748,
+              0.6665249,
+              1.0723792
+            ],
+            [
+              0.3820902,
+              0.3919,
+              0.4128401,
+              0.4561105,
+              0.5401024,
+              0.7119731,
+              1.1178676
+            ],
+            [
+              0.4600675,
+              0.4702487,
+              0.4922321,
+              0.5366514,
+              0.621883,
+              0.7951933,
+              1.2010338
+            ],
+            [
+              0.569373,
+              0.580553,
+              0.604723,
+              0.6534094,
+              0.7456826,
+              0.927817,
+              1.3389602
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133962,
+            0.00358918,
+            0.0096163,
+            0.0257644,
+            0.0690293,
+            0.184946
+          ],
+          "values": [
+            [
+              0.0928307,
+              0.1009927,
+              0.1191475,
+              0.1592001,
+              0.2540906,
+              0.4964922,
+              1.1378197
+            ],
+            [
+              0.0966896,
+              0.1048942,
+              0.122992,
+              0.1629661,
+              0.2579437,
+              0.4998629,
+              1.1400966
+            ],
+            [
+              0.1064095,
+              0.1145238,
+              0.1325396,
+              0.1722936,
+              0.2672033,
+              0.509929,
+              1.1494529
+            ],
+            [
+              0.130762,
+              0.1384208,
+              0.1558806,
+              0.1949903,
+              0.2890819,
+              0.5320693,
+              1.1737197
+            ],
+            [
+              0.1733916,
+              0.1816437,
+              0.1994483,
+              0.2385846,
+              0.3317465,
+              0.574587,
+              1.214007
+            ],
+            [
+              0.2234683,
+              0.2329725,
+              0.2527615,
+              0.2928214,
+              0.3862818,
+              0.6279397,
+              1.2676342
+            ],
+            [
+              0.2614707,
+              0.2733154,
+              0.2974316,
+              0.341282,
+              0.4369367,
+              0.6794095,
+              1.3186908
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133962,
+            0.00358918,
+            0.0096163,
+            0.0257644,
+            0.0690293,
+            0.184946
+          ],
+          "values": [
+            [
+              0.0402157,
+              0.0476364,
+              0.0634854,
+              0.0984279,
+              0.1751835,
+              0.3587199,
+              0.8708175
+            ],
+            [
+              0.0402175,
+              0.0476267,
+              0.0635028,
+              0.0984642,
+              0.1752215,
+              0.3582134,
+              0.8707228
+            ],
+            [
+              0.0403435,
+              0.0477351,
+              0.0638565,
+              0.0982819,
+              0.1749584,
+              0.3595116,
+              0.8708764
+            ],
+            [
+              0.0399756,
+              0.0473089,
+              0.0639167,
+              0.0987453,
+              0.1750454,
+              0.3589657,
+              0.8721953
+            ],
+            [
+              0.0398504,
+              0.0471037,
+              0.0636067,
+              0.0987385,
+              0.1749647,
+              0.3590152,
+              0.8719816
+            ],
+            [
+              0.0430976,
+              0.0512611,
+              0.0686445,
+              0.1025815,
+              0.178082,
+              0.3610524,
+              0.8715269
+            ],
+            [
+              0.0504489,
+              0.0593248,
+              0.0774828,
+              0.1147753,
+              0.1945407,
+              0.3753038,
+              0.8785528
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133962,
+            0.00358918,
+            0.0096163,
+            0.0257644,
+            0.0690293,
+            0.184946
+          ],
+          "values": [
+            [
+              0.0283254,
+              0.0353812,
+              0.0533062,
+              0.0996227,
+              0.2268603,
+              0.5719524,
+              1.4995371
+            ],
+            [
+              0.0281114,
+              0.0353204,
+              0.0531289,
+              0.0999092,
+              0.2269721,
+              0.5703297,
+              1.5011675
+            ],
+            [
+              0.0278389,
+              0.0351572,
+              0.0529519,
+              0.0994801,
+              0.2266358,
+              0.5719766,
+              1.4968927
+            ],
+            [
+              0.0271638,
+              0.0347234,
+              0.052358,
+              0.0989257,
+              0.2260145,
+              0.5700614,
+              1.5013313
+            ],
+            [
+              0.0304147,
+              0.037072,
+              0.0541465,
+              0.0994496,
+              0.2254768,
+              0.5713149,
+              1.4977053
+            ],
+            [
+              0.0376506,
+              0.0443076,
+              0.0608129,
+              0.10321,
+              0.2268863,
+              0.5713332,
+              1.5011995
+            ],
+            [
+              0.0481498,
+              0.0570991,
+              0.0742855,
+              0.1148499,
+              0.2301204,
+              0.5739779,
+              1.4996654
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133962,
+            0.00358918,
+            0.0096163,
+            0.0257644,
+            0.0690293,
+            0.184946
+          ],
+          "values": [
+            [
+              0.3410816,
+              0.3514918,
+              0.3737583,
+              0.4182385,
+              0.5042947,
+              0.6786847,
+              1.0863932
+            ],
+            [
+              0.3449561,
+              0.3553547,
+              0.377696,
+              0.4221357,
+              0.5081697,
+              0.6826276,
+              1.0903731
+            ],
+            [
+              0.3548947,
+              0.3653069,
+              0.3876305,
+              0.4320986,
+              0.518133,
+              0.6925457,
+              1.1002796
+            ],
+            [
+              0.3764503,
+              0.3868443,
+              0.4092491,
+              0.4536389,
+              0.5396241,
+              0.7141215,
+              1.1218783
+            ],
+            [
+              0.4172185,
+              0.4276247,
+              0.4498452,
+              0.4948142,
+              0.580394,
+              0.7549621,
+              1.1623779
+            ],
+            [
+              0.4860216,
+              0.497018,
+              0.5198371,
+              0.5650908,
+              0.6518214,
+              0.8271168,
+              1.2348569
+            ],
+            [
+              0.579277,
+              0.5907475,
+              0.6156435,
+              0.6648496,
+              0.7580323,
+              0.9407508,
+              1.3529051
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133962,
+            0.00358918,
+            0.0096163,
+            0.0257644,
+            0.0690293,
+            0.184946
+          ],
+          "values": [
+            [
+              0.097312,
+              0.1055009,
+              0.1236144,
+              0.163476,
+              0.2584859,
+              0.5013084,
+              1.1420883
+            ],
+            [
+              0.1014187,
+              0.1095523,
+              0.1276566,
+              0.1676225,
+              0.2624996,
+              0.5047036,
+              1.1459423
+            ],
+            [
+              0.1102189,
+              0.1183861,
+              0.1364113,
+              0.1762384,
+              0.2711742,
+              0.5136244,
+              1.1526751
+            ],
+            [
+              0.1310157,
+              0.1389596,
+              0.1567212,
+              0.196092,
+              0.2907028,
+              0.5324401,
+              1.1735988
+            ],
+            [
+              0.1696141,
+              0.1778942,
+              0.1960984,
+              0.2353371,
+              0.3294423,
+              0.5718351,
+              1.210944
+            ],
+            [
+              0.2215595,
+              0.2304801,
+              0.2503626,
+              0.2915121,
+              0.3854903,
+              0.6270287,
+              1.2684651
+            ],
+            [
+              0.2697858,
+              0.2813954,
+              0.3042957,
+              0.3499623,
+              0.4459187,
+              0.6883876,
+              1.3275758
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133962,
+            0.00358918,
+            0.0096163,
+            0.0257644,
+            0.0690293,
+            0.184946
+          ],
+          "values": [
+            [
+              0.0444154,
+              0.0517825,
+              0.0699391,
+              0.1031367,
+              0.1801524,
+              0.363069,
+              0.8755219
+            ],
+            [
+              0.0442262,
+              0.0517858,
+              0.0698418,
+              0.1031791,
+              0.1801081,
+              0.3630221,
+              0.8749437
+            ],
+            [
+              0.0444174,
+              0.0517772,
+              0.0697806,
+              0.103184,
+              0.1800923,
+              0.363084,
+              0.8754876
+            ],
+            [
+              0.0442261,
+              0.0517755,
+              0.0696222,
+              0.1031969,
+              0.1799652,
+              0.3630317,
+              0.874622
+            ],
+            [
+              0.0442892,
+              0.0517744,
+              0.0683944,
+              0.1034415,
+              0.1801656,
+              0.3640047,
+              0.8732393
+            ],
+            [
+              0.0470618,
+              0.0547402,
+              0.0709648,
+              0.1049685,
+              0.1819205,
+              0.3650031,
+              0.8738399
+            ],
+            [
+              0.0536249,
+              0.0630046,
+              0.0796754,
+              0.1170177,
+              0.1948629,
+              0.3768962,
+              0.8795185
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133962,
+            0.00358918,
+            0.0096163,
+            0.0257644,
+            0.0690293,
+            0.184946
+          ],
+          "values": [
+            [
+              0.0280951,
+              0.0354603,
+              0.0531501,
+              0.0997069,
+              0.22697,
+              0.5719113,
+              1.4974375
+            ],
+            [
+              0.0281785,
+              0.0352374,
+              0.0531602,
+              0.0995478,
+              0.2269059,
+              0.5717519,
+              1.5001238
+            ],
+            [
+              0.0278323,
+              0.0351904,
+              0.0529945,
+              0.0996629,
+              0.2263221,
+              0.5717578,
+              1.5002605
+            ],
+            [
+              0.0276741,
+              0.0347504,
+              0.0525792,
+              0.0990668,
+              0.226544,
+              0.5709938,
+              1.5008932
+            ],
+            [
+              0.0297446,
+              0.0372127,
+              0.0542484,
+              0.0994503,
+              0.2258086,
+              0.5709728,
+              1.5009411
+            ],
+            [
+              0.0355199,
+              0.0433297,
+              0.0603744,
+              0.1035233,
+              0.2267784,
+              0.5699737,
+              1.5004015
+            ],
+            [
+              0.0456832,
+              0.0545222,
+              0.0732098,
+              0.1137243,
+              0.2313145,
+              0.5719134,
+              1.5004245
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133962,
+            0.00358918,
+            0.0096163,
+            0.0257644,
+            0.0690293,
+            0.184946
+          ],
+          "values": [
+            [
+              0.3215878,
+              0.3319827,
+              0.3545088,
+              0.3991426,
+              0.4849932,
+              0.6593331,
+              1.0668705
+            ],
+            [
+              0.3234782,
+              0.3337967,
+              0.356486,
+              0.401104,
+              0.4869286,
+              0.6615627,
+              1.0692975
+            ],
+            [
+              0.3311491,
+              0.3418555,
+              0.3641527,
+              0.4086071,
+              0.4946363,
+              0.6691533,
+              1.0769508
+            ],
+            [
+              0.3514807,
+              0.3619141,
+              0.3842996,
+              0.4290979,
+              0.51422,
+              0.6891688,
+              1.0967691
+            ],
+            [
+              0.3953129,
+              0.4056959,
+              0.428227,
+              0.4726298,
+              0.5585222,
+              0.7331072,
+              1.1409003
+            ],
+            [
+              0.4814712,
+              0.4923231,
+              0.5157041,
+              0.5616715,
+              0.6490486,
+              0.8244229,
+              1.232259
+            ],
+            [
+              0.6359551,
+              0.6479864,
+              0.6738142,
+              0.7239135,
+              0.8182187,
+              1.0020631,
+              1.415188
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133962,
+            0.00358918,
+            0.0096163,
+            0.0257644,
+            0.0690293,
+            0.184946
+          ],
+          "values": [
+            [
+              0.067006,
+              0.0737311,
+              0.0891335,
+              0.124977,
+              0.2159469,
+              0.4543751,
+              1.0958527
+            ],
+            [
+              0.0715705,
+              0.0783272,
+              0.0936636,
+              0.1295504,
+              0.2199657,
+              0.459968,
+              1.097095
+            ],
+            [
+              0.0823816,
+              0.0890533,
+              0.104337,
+              0.1400059,
+              0.2309929,
+              0.4695614,
+              1.1084976
+            ],
+            [
+              0.1060451,
+              0.1127149,
+              0.1278196,
+              0.1632247,
+              0.2541261,
+              0.4929321,
+              1.1318371
+            ],
+            [
+              0.1386606,
+              0.1463797,
+              0.1626888,
+              0.1987166,
+              0.2891747,
+              0.5291066,
+              1.1668496
+            ],
+            [
+              0.1696608,
+              0.179292,
+              0.1989086,
+              0.2371999,
+              0.3279096,
+              0.5668282,
+              1.2057568
+            ],
+            [
+              0.1747734,
+              0.1867783,
+              0.2115575,
+              0.2573176,
+              0.3499955,
+              0.5894518,
+              1.2272912
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133962,
+            0.00358918,
+            0.0096163,
+            0.0257644,
+            0.0690293,
+            0.184946
+          ],
+          "values": [
+            [
+              0.0451172,
+              0.0522176,
+              0.0691849,
+              0.102691,
+              0.1795244,
+              0.3639742,
+              0.8731754
+            ],
+            [
+              0.0445828,
+              0.0517546,
+              0.0683461,
+              0.1040513,
+              0.1799447,
+              0.3630693,
+              0.8722684
+            ],
+            [
+              0.044564,
+              0.0527221,
+              0.0698528,
+              0.103177,
+              0.1800809,
+              0.3630646,
+              0.873014
+            ],
+            [
+              0.0450054,
+              0.0525001,
+              0.0692601,
+              0.1038674,
+              0.1797379,
+              0.3634784,
+              0.872444
+            ],
+            [
+              0.0448925,
+              0.0525487,
+              0.0689326,
+              0.1043049,
+              0.1792062,
+              0.3631515,
+              0.8747847
+            ],
+            [
+              0.0483325,
+              0.0561279,
+              0.072696,
+              0.107093,
+              0.1829401,
+              0.365526,
+              0.8737028
+            ],
+            [
+              0.0574585,
+              0.0660621,
+              0.0853313,
+              0.12058,
+              0.2003506,
+              0.3796508,
+              0.8805939
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133962,
+            0.00358918,
+            0.0096163,
+            0.0257644,
+            0.0690293,
+            0.184946
+          ],
+          "values": [
+            [
+              0.0228897,
+              0.0291162,
+              0.0458354,
+              0.0918332,
+              0.2205258,
+              0.567404,
+              1.4978807
+            ],
+            [
+              0.0228548,
+              0.0291054,
+              0.0457584,
+              0.0919388,
+              0.2203323,
+              0.5673362,
+              1.49816
+            ],
+            [
+              0.0226918,
+              0.0289336,
+              0.0456049,
+              0.0918247,
+              0.2203967,
+              0.5674183,
+              1.494079
+            ],
+            [
+              0.0236268,
+              0.029576,
+              0.0457721,
+              0.0916943,
+              0.2203692,
+              0.5673542,
+              1.4947329
+            ],
+            [
+              0.029189,
+              0.0348845,
+              0.0496364,
+              0.0936682,
+              0.2204956,
+              0.5658103,
+              1.4985611
+            ],
+            [
+              0.0380368,
+              0.0442823,
+              0.0587616,
+              0.0984044,
+              0.2218216,
+              0.5663623,
+              1.498095
+            ],
+            [
+              0.0501775,
+              0.0580739,
+              0.075296,
+              0.1125297,
+              0.2267859,
+              0.5682241,
+              1.4965296
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133962,
+            0.00358918,
+            0.0096163,
+            0.0257644,
+            0.0690293,
+            0.184946
+          ],
+          "values": [
+            [
+              0.283049,
+              0.2934286,
+              0.3161039,
+              0.3605644,
+              0.4462485,
+              0.620723,
+              1.028259
+            ],
+            [
+              0.2851178,
+              0.2954228,
+              0.3180728,
+              0.3625349,
+              0.4482214,
+              0.6226938,
+              1.0302634
+            ],
+            [
+              0.2921308,
+              0.3025031,
+              0.3249188,
+              0.3696928,
+              0.4552156,
+              0.629613,
+              1.0367733
+            ],
+            [
+              0.3110919,
+              0.3215022,
+              0.3439061,
+              0.3886533,
+              0.4746812,
+              0.6490105,
+              1.0567361
+            ],
+            [
+              0.3568677,
+              0.3674352,
+              0.3899167,
+              0.4344077,
+              0.5204577,
+              0.6948963,
+              1.1027279
+            ],
+            [
+              0.4587356,
+              0.4696772,
+              0.4933194,
+              0.5393372,
+              0.6260147,
+              0.8018624,
+              1.2097683
+            ],
+            [
+              0.654244,
+              0.6664955,
+              0.6920567,
+              0.7420565,
+              0.8355154,
+              1.0172367,
+              1.4307224
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133962,
+            0.00358918,
+            0.0096163,
+            0.0257644,
+            0.0690293,
+            0.184946
+          ],
+          "values": [
+            [
+              0.0630157,
+              0.0694958,
+              0.0843221,
+              0.1194011,
+              0.209084,
+              0.4480379,
+              1.0854437
+            ],
+            [
+              0.0676127,
+              0.0740743,
+              0.0888751,
+              0.1239599,
+              0.2138008,
+              0.4524937,
+              1.0910897
+            ],
+            [
+              0.0782095,
+              0.084647,
+              0.0994111,
+              0.1343449,
+              0.2245564,
+              0.4641419,
+              1.1035295
+            ],
+            [
+              0.1002336,
+              0.1067709,
+              0.1216132,
+              0.1565982,
+              0.2465788,
+              0.4855744,
+              1.1238899
+            ],
+            [
+              0.1284254,
+              0.136103,
+              0.152334,
+              0.1881927,
+              0.2782222,
+              0.5173046,
+              1.1554323
+            ],
+            [
+              0.1518645,
+              0.1616719,
+              0.1812631,
+              0.2198061,
+              0.3100663,
+              0.5487672,
+              1.1894925
+            ],
+            [
+              0.144255,
+              0.1564881,
+              0.1818861,
+              0.2281974,
+              0.3211181,
+              0.5602454,
+              1.1994863
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133962,
+            0.00358918,
+            0.0096163,
+            0.0257644,
+            0.0690293,
+            0.184946
+          ],
+          "values": [
+            [
+              0.0447554,
+              0.0523675,
+              0.068712,
+              0.1026061,
+              0.1794169,
+              0.3640933,
+              0.8733057
+            ],
+            [
+              0.0446146,
+              0.0523737,
+              0.0687831,
+              0.1026125,
+              0.1794519,
+              0.3640644,
+              0.8731869
+            ],
+            [
+              0.0443454,
+              0.0518265,
+              0.0683005,
+              0.1030361,
+              0.1797987,
+              0.3640262,
+              0.8732314
+            ],
+            [
+              0.0449888,
+              0.0524943,
+              0.0692874,
+              0.1042218,
+              0.1791999,
+              0.3630345,
+              0.8717885
+            ],
+            [
+              0.0442469,
+              0.0517578,
+              0.0690042,
+              0.102667,
+              0.1797734,
+              0.3630896,
+              0.8745786
+            ],
+            [
+              0.0493388,
+              0.0571072,
+              0.0740702,
+              0.108146,
+              0.1839539,
+              0.3654462,
+              0.8724079
+            ],
+            [
+              0.0603709,
+              0.0689028,
+              0.085361,
+              0.1215292,
+              0.1960961,
+              0.377476,
+              0.8805516
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133962,
+            0.00358918,
+            0.0096163,
+            0.0257644,
+            0.0690293,
+            0.184946
+          ],
+          "values": [
+            [
+              0.0215613,
+              0.0277104,
+              0.0442195,
+              0.0904837,
+              0.2189541,
+              0.5654253,
+              1.4962126
+            ],
+            [
+              0.0215898,
+              0.0277001,
+              0.0442097,
+              0.0903827,
+              0.2189281,
+              0.5667787,
+              1.4967929
+            ],
+            [
+              0.021593,
+              0.0276943,
+              0.0441365,
+              0.0905413,
+              0.2195971,
+              0.5671986,
+              1.4990446
+            ],
+            [
+              0.0231662,
+              0.0289546,
+              0.0449363,
+              0.0906234,
+              0.2189154,
+              0.5661673,
+              1.494831
+            ],
+            [
+              0.0291341,
+              0.0345339,
+              0.0492004,
+              0.0926514,
+              0.2196127,
+              0.5662998,
+              1.4964445
+            ],
+            [
+              0.0382394,
+              0.0446312,
+              0.058978,
+              0.0982576,
+              0.2211744,
+              0.5648871,
+              1.4954733
+            ],
+            [
+              0.051389,
+              0.0592845,
+              0.0767673,
+              0.1142212,
+              0.2262972,
+              0.569242,
+              1.4963032
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133962,
+            0.00358918,
+            0.0096163,
+            0.0257644,
+            0.0690293,
+            0.184946
+          ],
+          "values": [
+            [
+              0.2297417,
+              0.2401022,
+              0.2626023,
+              0.3069826,
+              0.3930982,
+              0.5674468,
+              0.9747383
+            ],
+            [
+              0.2299849,
+              0.2404361,
+              0.2627599,
+              0.3076854,
+              0.393492,
+              0.5681681,
+              0.9756381
+            ],
+            [
+              0.2352903,
+              0.2457765,
+              0.2682057,
+              0.3128142,
+              0.3989478,
+              0.5734315,
+              0.9809838
+            ],
+            [
+              0.2553631,
+              0.2657202,
+              0.2880503,
+              0.3328375,
+              0.4190255,
+              0.5932225,
+              1.0008612
+            ],
+            [
+              0.3099765,
+              0.3203292,
+              0.3425778,
+              0.3871993,
+              0.4729583,
+              0.6474006,
+              1.0549628
+            ],
+            [
+              0.4335621,
+              0.4440707,
+              0.4653156,
+              0.5096194,
+              0.5956289,
+              0.7709309,
+              1.1788821
+            ],
+            [
+              0.6380311,
+              0.6501508,
+              0.6754292,
+              0.7226629,
+              0.8097115,
+              0.9877585,
+              1.4013164
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133962,
+            0.00358918,
+            0.0096163,
+            0.0257644,
+            0.0690293,
+            0.184946
+          ],
+          "values": [
+            [
+              0.055248,
+              0.0614799,
+              0.0757773,
+              0.1099884,
+              0.1991808,
+              0.4374705,
+              1.0791109
+            ],
+            [
+              0.0598464,
+              0.0660675,
+              0.0803822,
+              0.1145842,
+              0.2037564,
+              0.4418858,
+              1.0834966
+            ],
+            [
+              0.0703308,
+              0.0765245,
+              0.0907495,
+              0.1251242,
+              0.2141556,
+              0.4527406,
+              1.094327
+            ],
+            [
+              0.0897287,
+              0.0963085,
+              0.1109621,
+              0.1455081,
+              0.2347245,
+              0.4737307,
+              1.1145158
+            ],
+            [
+              0.1120923,
+              0.1200055,
+              0.1364024,
+              0.1721801,
+              0.261691,
+              0.5017224,
+              1.1391593
+            ],
+            [
+              0.1265834,
+              0.1368379,
+              0.1573429,
+              0.1964129,
+              0.2864677,
+              0.5252084,
+              1.1635185
+            ],
+            [
+              0.106151,
+              0.1189285,
+              0.1454773,
+              0.1941062,
+              0.2881027,
+              0.5270738,
+              1.1665958
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133962,
+            0.00358918,
+            0.0096163,
+            0.0257644,
+            0.0690293,
+            0.184946
+          ],
+          "values": [
+            [
+              0.0445303,
+              0.0526213,
+              0.0684776,
+              0.1027921,
+              0.180022,
+              0.3626834,
+              0.8723889
+            ],
+            [
+              0.0441846,
+              0.0516912,
+              0.0692247,
+              0.1035604,
+              0.1801339,
+              0.363972,
+              0.8733756
+            ],
+            [
+              0.0442592,
+              0.0524095,
+              0.0694633,
+              0.1027234,
+              0.1799027,
+              0.3629044,
+              0.8734109
+            ],
+            [
+              0.044198,
+              0.0517698,
+              0.0682961,
+              0.1043752,
+              0.1791699,
+              0.362953,
+              0.8733434
+            ],
+            [
+              0.0434456,
+              0.0511501,
+              0.0676239,
+              0.1037004,
+              0.1793207,
+              0.3632548,
+              0.8736054
+            ],
+            [
+              0.048115,
+              0.0550303,
+              0.0706242,
+              0.1044909,
+              0.182004,
+              0.3646673,
+              0.874734
+            ],
+            [
+              0.062028,
+              0.0695319,
+              0.0854035,
+              0.1165869,
+              0.1884471,
+              0.3727965,
+              0.8776543
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00133962,
+            0.00358918,
+            0.0096163,
+            0.0257644,
+            0.0690293,
+            0.184946
+          ],
+          "values": [
+            [
+              0.0206418,
+              0.0267513,
+              0.043381,
+              0.0899057,
+              0.2192293,
+              0.5667012,
+              1.504135
+            ],
+            [
+              0.0206685,
+              0.0268123,
+              0.0434297,
+              0.0898194,
+              0.2191146,
+              0.5678787,
+              1.503742
+            ],
+            [
+              0.0206973,
+              0.0269212,
+              0.0434429,
+              0.0899945,
+              0.2190181,
+              0.566608,
+              1.5032302
+            ],
+            [
+              0.0234615,
+              0.029196,
+              0.0448023,
+              0.090335,
+              0.2190758,
+              0.5673995,
+              1.5040208
+            ],
+            [
+              0.030203,
+              0.0354802,
+              0.0497152,
+              0.0929532,
+              0.2192657,
+              0.5668509,
+              1.5025354
+            ],
+            [
+              0.0401442,
+              0.0466228,
+              0.0611589,
+              0.099377,
+              0.2212967,
+              0.5649617,
+              1.498635
+            ],
+            [
+              0.054249,
+              0.0629189,
+              0.0811022,
+              0.1189859,
+              0.2285443,
+              0.5696045,
+              1.4938709
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_2.cdl b/cells/a2111o/sky130_fd_sc_hd__a2111o_2.cdl
new file mode 100644
index 0000000..98ed8fb
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_2.cdl
@@ -0,0 +1,43 @@
+* Copyright 2020 The SkyWater PDK Authors
+*
+* Licensed under the Apache License, Version 2.0 (the "License");
+* you may not use this file except in compliance with the License.
+* You may obtain a copy of the License at
+*
+*     https://www.apache.org/licenses/LICENSE-2.0
+*
+* Unless required by applicable law or agreed to in writing, software
+* distributed under the License is distributed on an "AS IS" BASIS,
+* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+* See the License for the specific language governing permissions and
+* limitations under the License.
+*
+* SPDX-License-Identifier: Apache-2.0
+
+.SUBCKT sky130_fd_sc_hd__a2111o_2 A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
+*.PININFO A1:I A2:I B1:I C1:I D1:I VGND:I VNB:I VPB:I VPWR:I X:O
+MMPA0 pndA A1 VPB phighvt m=1 w=1.0 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMPA1 pndA A2 VPB phighvt m=1 w=1.0 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMPB0 pndB B1 VPB phighvt m=1 w=1.0 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMPC0 pndC C1 VPB phighvt m=1 w=1.0 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMPD0 y D1 VPB phighvt m=1 w=1.0 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMIPX X y VPB phighvt m=2 w=1.0 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMNA0 y A1 VNB nshort m=1 w=0.65 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMNA1 sndA1 A2 VNB nshort m=1 w=0.65 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMNB0 y B1 VNB nshort m=1 w=0.65 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMNC0 y C1 VNB nshort m=1 w=0.65 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMND0 y D1 VNB nshort m=1 w=0.65 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+MMINX X y VNB nshort m=2 w=0.65 l=0.15 mult=1 sa=0.265 sb=0.265
++ sd=0.28 topography=normal area=0.063 perim=1.14
+.ENDS sky130_fd_sc_hd__a2111o_2
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_2.gds b/cells/a2111o/sky130_fd_sc_hd__a2111o_2.gds
new file mode 100644
index 0000000..7e32913
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_2.gds
Binary files differ
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_2.lef b/cells/a2111o/sky130_fd_sc_hd__a2111o_2.lef
new file mode 100644
index 0000000..83def93
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_2.lef
@@ -0,0 +1,132 @@
+# Copyright 2020 The SkyWater PDK Authors
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#     https://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+VERSION 5.5 ;
+NAMESCASESENSITIVE ON ;
+BUSBITCHARS "[]" ;
+DIVIDERCHAR "/" ;
+MACRO sky130_fd_sc_hd__a2111o_2
+  CLASS CORE ;
+  SOURCE USER ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.365000 0.955000 3.775000 1.740000 ;
+        RECT 3.505000 0.290000 3.995000 0.825000 ;
+        RECT 3.505000 0.825000 3.775000 0.955000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.945000 0.995000 4.515000 1.740000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.995000 3.195000 1.740000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.425000 0.995000 2.735000 2.355000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.885000 0.995000 2.255000 1.325000 ;
+        RECT 1.960000 1.325000 2.255000 2.355000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.255000 0.895000 2.390000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 -0.085000 4.600000 0.085000 ;
+        RECT 0.085000  0.085000 0.435000 0.885000 ;
+        RECT 1.065000  0.085000 2.010000 0.445000 ;
+        RECT 1.065000  0.445000 1.325000 0.865000 ;
+        RECT 2.590000  0.085000 2.920000 0.445000 ;
+        RECT 4.165000  0.085000 4.515000 0.805000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 2.635000 4.600000 2.805000 ;
+        RECT 0.085000 1.635000 0.435000 2.635000 ;
+        RECT 1.065000 1.495000 1.315000 2.635000 ;
+        RECT 3.590000 2.255000 3.920000 2.635000 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 1.065000 1.075000 1.705000 1.325000 ;
+      RECT 1.495000 0.615000 3.335000 0.785000 ;
+      RECT 1.495000 0.785000 1.705000 1.075000 ;
+      RECT 1.495000 1.325000 1.705000 1.495000 ;
+      RECT 1.495000 1.495000 1.785000 2.465000 ;
+      RECT 2.180000 0.255000 2.420000 0.615000 ;
+      RECT 3.070000 1.915000 4.515000 2.085000 ;
+      RECT 3.070000 2.085000 3.400000 2.465000 ;
+      RECT 3.090000 0.255000 3.335000 0.615000 ;
+      RECT 4.090000 2.085000 4.515000 2.465000 ;
+  END
+END sky130_fd_sc_hd__a2111o_2
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_2.magic.lef b/cells/a2111o/sky130_fd_sc_hd__a2111o_2.magic.lef
new file mode 100644
index 0000000..9d563af
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_2.magic.lef
@@ -0,0 +1,155 @@
+# Copyright 2020 The SkyWater PDK Authors
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#     https://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+VERSION 5.7 ;
+  NAMESCASESENSITIVE ON ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+UNITS
+  DATABASE MICRONS 200 ;
+END UNITS
+MACRO sky130_fd_sc_hd__a2111o_2
+  CLASS CORE ;
+  SOURCE USER ;
+  FOREIGN sky130_fd_sc_hd__a2111o_2 ;
+  ORIGIN  0.000000  0.000000 ;
+  SIZE  4.600000 BY  2.720000 ;
+  SYMMETRY X Y R90 ;
+  SITE unithd ;
+  PIN A1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.365000 0.955000 3.775000 1.740000 ;
+        RECT 3.505000 0.290000 3.995000 0.825000 ;
+        RECT 3.505000 0.825000 3.775000 0.955000 ;
+    END
+  END A1
+  PIN A2
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 3.945000 0.995000 4.515000 1.740000 ;
+    END
+  END A2
+  PIN B1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.905000 0.995000 3.195000 1.740000 ;
+    END
+  END B1
+  PIN C1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 2.425000 0.995000 2.735000 2.355000 ;
+    END
+  END C1
+  PIN D1
+    ANTENNAGATEAREA  0.247500 ;
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 1.885000 0.995000 2.255000 1.325000 ;
+        RECT 1.960000 1.325000 2.255000 2.355000 ;
+    END
+  END D1
+  PIN X
+    ANTENNADIFFAREA  0.462000 ;
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER li1 ;
+        RECT 0.605000 0.255000 0.895000 2.390000 ;
+    END
+  END X
+  PIN VGND
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE GROUND ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 -0.085000 4.600000 0.085000 ;
+        RECT 0.085000  0.085000 0.435000 0.885000 ;
+        RECT 1.065000  0.085000 2.010000 0.445000 ;
+        RECT 1.065000  0.445000 1.325000 0.865000 ;
+        RECT 2.590000  0.085000 2.920000 0.445000 ;
+        RECT 4.165000  0.085000 4.515000 0.805000 ;
+      LAYER mcon ;
+        RECT 0.145000 -0.085000 0.315000 0.085000 ;
+        RECT 0.605000 -0.085000 0.775000 0.085000 ;
+        RECT 1.065000 -0.085000 1.235000 0.085000 ;
+        RECT 1.525000 -0.085000 1.695000 0.085000 ;
+        RECT 1.985000 -0.085000 2.155000 0.085000 ;
+        RECT 2.445000 -0.085000 2.615000 0.085000 ;
+        RECT 2.905000 -0.085000 3.075000 0.085000 ;
+        RECT 3.365000 -0.085000 3.535000 0.085000 ;
+        RECT 3.825000 -0.085000 3.995000 0.085000 ;
+        RECT 4.285000 -0.085000 4.455000 0.085000 ;
+      LAYER met1 ;
+        RECT 0.000000 -0.240000 4.600000 0.240000 ;
+    END
+  END VGND
+  PIN VPWR
+    DIRECTION INOUT ;
+    SHAPE ABUTMENT ;
+    USE POWER ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000000 2.635000 4.600000 2.805000 ;
+        RECT 0.085000 1.635000 0.435000 2.635000 ;
+        RECT 1.065000 1.495000 1.315000 2.635000 ;
+        RECT 3.590000 2.255000 3.920000 2.635000 ;
+      LAYER mcon ;
+        RECT 0.145000 2.635000 0.315000 2.805000 ;
+        RECT 0.605000 2.635000 0.775000 2.805000 ;
+        RECT 1.065000 2.635000 1.235000 2.805000 ;
+        RECT 1.525000 2.635000 1.695000 2.805000 ;
+        RECT 1.985000 2.635000 2.155000 2.805000 ;
+        RECT 2.445000 2.635000 2.615000 2.805000 ;
+        RECT 2.905000 2.635000 3.075000 2.805000 ;
+        RECT 3.365000 2.635000 3.535000 2.805000 ;
+        RECT 3.825000 2.635000 3.995000 2.805000 ;
+        RECT 4.285000 2.635000 4.455000 2.805000 ;
+      LAYER met1 ;
+        RECT 0.000000 2.480000 4.600000 2.960000 ;
+    END
+  END VPWR
+  OBS
+    LAYER li1 ;
+      RECT 1.065000 1.075000 1.705000 1.325000 ;
+      RECT 1.495000 0.615000 3.335000 0.785000 ;
+      RECT 1.495000 0.785000 1.705000 1.075000 ;
+      RECT 1.495000 1.325000 1.705000 1.495000 ;
+      RECT 1.495000 1.495000 1.785000 2.465000 ;
+      RECT 2.180000 0.255000 2.420000 0.615000 ;
+      RECT 3.070000 1.915000 4.515000 2.085000 ;
+      RECT 3.070000 2.085000 3.400000 2.465000 ;
+      RECT 3.090000 0.255000 3.335000 0.615000 ;
+      RECT 4.090000 2.085000 4.515000 2.465000 ;
+  END
+END sky130_fd_sc_hd__a2111o_2
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_2.netlist.tsv b/cells/a2111o/sky130_fd_sc_hd__a2111o_2.netlist.tsv
new file mode 100644
index 0000000..6c3b230
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_2.netlist.tsv
@@ -0,0 +1,14 @@
+sky130_fd_sc_hd__a2111o_2	A1:I A2:I B1:I C1:I D1:I VGND:I VNB:I VPB:I VPWR:I X:O

+Name	Formula	nd	ng	ns	nb	mname	m	w	l	mult	sa	sb	sd	topography	area	perim

+MMPA0	MOSFET	pndA	A1	VPWR	VPB	phighvt	1	1.0	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMPA1	MOSFET	pndA	A2	VPWR	VPB	phighvt	1	1.0	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMPB0	MOSFET	pndB	B1	pndA	VPB	phighvt	1	1.0	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMPC0	MOSFET	pndC	C1	pndB	VPB	phighvt	1	1.0	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMPD0	MOSFET	y	D1	pndC	VPB	phighvt	1	1.0	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMIPX	MOSFET	X	y	VPWR	VPB	phighvt	2	1.0	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMNA0	MOSFET	y	A1	sndA1	VNB	nshort	1	0.65	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMNA1	MOSFET	sndA1	A2	VGND	VNB	nshort	1	0.65	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMNB0	MOSFET	y	B1	VGND	VNB	nshort	1	0.65	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMNC0	MOSFET	y	C1	VGND	VNB	nshort	1	0.65	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMND0	MOSFET	y	D1	VGND	VNB	nshort	1	0.65	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

+MMINX	MOSFET	X	y	VGND	VNB	nshort	2	0.65	0.15	1	0.265	0.265	0.28	normal	0.063	1.14

diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_2.spice b/cells/a2111o/sky130_fd_sc_hd__a2111o_2.spice
new file mode 100644
index 0000000..839d95c
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_2.spice
@@ -0,0 +1,33 @@
+* NGSPICE file created from sky130_fd_sc_hd__a2111o_2.ext - technology: sky130A
+
+.subckt sky130_fd_sc_hd__a2111o_2 A1 A2 B1 C1 D1 VGND VNB VPB VPWR X
+M1000 VPWR A1 a_607_297# VPB phighvt w=1e+06u l=150000u
++  ad=9.2e+11p pd=7.84e+06u as=6.55e+11p ps=5.31e+06u
+M1001 a_86_235# B1 VGND VNB nshort w=650000u l=150000u
++  ad=3.9975e+11p pd=3.83e+06u as=1.23175e+12p ps=8.99e+06u
+M1002 a_427_297# D1 a_86_235# VPB phighvt w=1e+06u l=150000u
++  ad=2.1e+11p pd=2.42e+06u as=4.1e+11p ps=2.82e+06u
+M1003 VGND A2 a_715_47# VNB nshort w=650000u l=150000u
++  ad=0p pd=0u as=2.535e+11p ps=2.08e+06u
+M1004 X a_86_235# VGND VNB nshort w=650000u l=150000u
++  ad=1.82e+11p pd=1.86e+06u as=0p ps=0u
+M1005 VPWR a_86_235# X VPB phighvt w=1e+06u l=150000u
++  ad=0p pd=0u as=2.8e+11p ps=2.56e+06u
+M1006 a_499_297# C1 a_427_297# VPB phighvt w=1e+06u l=150000u
++  ad=3.9e+11p pd=2.78e+06u as=0p ps=0u
+M1007 a_607_297# A2 VPWR VPB phighvt w=1e+06u l=150000u
++  ad=0p pd=0u as=0p ps=0u
+M1008 a_86_235# D1 VGND VNB nshort w=650000u l=150000u
++  ad=0p pd=0u as=0p ps=0u
+M1009 VGND a_86_235# X VNB nshort w=650000u l=150000u
++  ad=0p pd=0u as=0p ps=0u
+M1010 a_607_297# B1 a_499_297# VPB phighvt w=1e+06u l=150000u
++  ad=0p pd=0u as=0p ps=0u
+M1011 VGND C1 a_86_235# VNB nshort w=650000u l=150000u
++  ad=0p pd=0u as=0p ps=0u
+M1012 X a_86_235# VPWR VPB phighvt w=1e+06u l=150000u
++  ad=0p pd=0u as=0p ps=0u
+M1013 a_715_47# A1 a_86_235# VNB nshort w=650000u l=150000u
++  ad=0p pd=0u as=0p ps=0u
+.ends
+
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_2.svg b/cells/a2111o/sky130_fd_sc_hd__a2111o_2.svg
new file mode 100644
index 0000000..42a25f7
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_2.svg
@@ -0,0 +1,217 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<svg xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" width="1898pt" height="1059pt" viewBox="0 0 1898 1059" version="1.2">
+<defs>
+<clipPath id="clip1">
+  <path d="M 18.5 2.5 L 1898 2.5 L 1898 1059 L 18.5 1059 Z M 18.5 2.5 "/>
+</clipPath>
+<clipPath id="clip2">
+  <path d="M 279.5 112.5 L 1641.5 112.5 L 1641.5 551.5 L 279.5 551.5 Z M 279.5 112.5 "/>
+</clipPath>
+<image id="image372" width="32" height="32" xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAAAAABWESUoAAAAAmJLR0QA/4ePzL8AAAApSURBVDiNY2Rg+M/AiAczMdAe/P+PH9PBDYyj4cDAwDAaDjAwGg4QAAAzhF/RgilCzQAAAABJRU5ErkJggg=="/>
+<pattern id="pattern0" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image372"/>
+</pattern>
+<mask id="mask0">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern0);stroke:none;"/>
+</mask>
+<clipPath id="clip3">
+  <path d="M 370.5 885.5 L 417.5 885.5 L 417.5 931.5 L 370.5 931.5 Z M 370.5 885.5 "/>
+</clipPath>
+<pattern id="pattern1" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image372"/>
+</pattern>
+<mask id="mask1">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern1);stroke:none;"/>
+</mask>
+<clipPath id="clip4">
+  <path d="M 448.5 193.5 L 489.5 193.5 L 489.5 228.5 L 448.5 228.5 Z M 1415.5 193.5 L 1456.5 193.5 L 1456.5 228.5 L 1415.5 228.5 Z M 1267.5 193.5 L 1308.5 193.5 L 1308.5 228.5 L 1267.5 228.5 Z M 1120.5 193.5 L 1161.5 193.5 L 1161.5 228.5 L 1120.5 228.5 Z M 972.5 193.5 L 1013.5 193.5 L 1013.5 228.5 L 972.5 228.5 Z M 873.5 193.5 L 915.5 193.5 L 915.5 228.5 L 873.5 228.5 Z M 566.5 193.5 L 607.5 193.5 L 607.5 228.5 L 566.5 228.5 Z M 448.5 502.5 L 489.5 502.5 L 489.5 546.5 L 448.5 546.5 Z M 566.5 502.5 L 607.5 502.5 L 607.5 546.5 L 566.5 546.5 Z M 972.5 502.5 L 1013.5 502.5 L 1013.5 546.5 L 972.5 546.5 Z M 1120.5 502.5 L 1161.5 502.5 L 1161.5 546.5 L 1120.5 546.5 Z M 873.5 502.5 L 915.5 502.5 L 915.5 546.5 L 873.5 546.5 Z M 1267.5 502.5 L 1308.5 502.5 L 1308.5 546.5 L 1267.5 546.5 Z M 1415.5 502.5 L 1456.5 502.5 L 1456.5 546.5 L 1415.5 546.5 Z M 1267.5 546.5 L 1358.5 546.5 L 1358.5 568.5 L 1267.5 568.5 Z M 448.5 546.5 L 718.5 546.5 L 718.5 568.5 L 448.5 568.5 Z M 1120.5 546.5 L 1210.5 546.5 L 1210.5 568.5 L 1120.5 568.5 Z M 1415.5 546.5 L 1505.5 546.5 L 1505.5 568.5 L 1415.5 568.5 Z M 972.5 546.5 L 1062.5 546.5 L 1062.5 568.5 L 972.5 568.5 Z M 824.5 546.5 L 915.5 546.5 L 915.5 568.5 L 824.5 568.5 Z M 448.5 568.5 L 718.5 568.5 L 718.5 587.5 L 448.5 587.5 Z M 470.5 587.5 L 718.5 587.5 L 718.5 614.5 L 470.5 614.5 Z M 824.5 568.5 L 915.5 568.5 L 915.5 614.5 L 824.5 614.5 Z M 1415.5 568.5 L 1505.5 568.5 L 1505.5 614.5 L 1415.5 614.5 Z M 972.5 568.5 L 1062.5 568.5 L 1062.5 614.5 L 972.5 614.5 Z M 1267.5 568.5 L 1358.5 568.5 L 1358.5 614.5 L 1267.5 614.5 Z M 1120.5 568.5 L 1210.5 568.5 L 1210.5 614.5 L 1120.5 614.5 Z M 972.5 614.5 L 1062.5 614.5 L 1062.5 636.5 L 972.5 636.5 Z M 1120.5 614.5 L 1210.5 614.5 L 1210.5 636.5 L 1120.5 636.5 Z M 470.5 614.5 L 718.5 614.5 L 718.5 636.5 L 470.5 636.5 Z M 1415.5 614.5 L 1505.5 614.5 L 1505.5 636.5 L 1415.5 636.5 Z M 824.5 614.5 L 915.5 614.5 L 915.5 636.5 L 824.5 636.5 Z M 1267.5 614.5 L 1358.5 614.5 L 1358.5 640.5 L 1267.5 640.5 Z M 1267.5 640.5 L 1308.5 640.5 L 1308.5 666.5 L 1267.5 666.5 Z M 1135.5 636.5 L 1176.5 636.5 L 1176.5 666.5 L 1135.5 666.5 Z M 470.5 636.5 L 511.5 636.5 L 511.5 666.5 L 470.5 666.5 Z M 873.5 636.5 L 915.5 636.5 L 915.5 666.5 L 873.5 666.5 Z M 1415.5 636.5 L 1456.5 636.5 L 1456.5 666.5 L 1415.5 666.5 Z M 991.5 636.5 L 1032.5 636.5 L 1032.5 666.5 L 991.5 666.5 Z M 588.5 636.5 L 629.5 636.5 L 629.5 666.5 L 588.5 666.5 Z M 470.5 844.5 L 511.5 844.5 L 511.5 879.5 L 470.5 879.5 Z M 1415.5 844.5 L 1456.5 844.5 L 1456.5 879.5 L 1415.5 879.5 Z M 1267.5 844.5 L 1308.5 844.5 L 1308.5 879.5 L 1267.5 879.5 Z M 1135.5 844.5 L 1176.5 844.5 L 1176.5 879.5 L 1135.5 879.5 Z M 991.5 844.5 L 1032.5 844.5 L 1032.5 879.5 L 991.5 879.5 Z M 873.5 844.5 L 915.5 844.5 L 915.5 879.5 L 873.5 879.5 Z M 588.5 844.5 L 629.5 844.5 L 629.5 879.5 L 588.5 879.5 Z M 588.5 844.5 "/>
+</clipPath>
+<clipPath id="clip5">
+  <path d="M 511.5 666.5 L 588.5 666.5 L 588.5 677.5 L 511.5 677.5 Z M 392.5 666.5 L 470.5 666.5 L 470.5 688.5 L 392.5 688.5 Z M 1456.5 666.5 L 1549.5 666.5 L 1549.5 688.5 L 1456.5 688.5 Z M 629.5 666.5 L 873.5 666.5 L 873.5 693.5 L 629.5 693.5 Z M 511.5 677.5 L 588.5 677.5 L 588.5 723.5 L 511.5 723.5 Z M 1456.5 688.5 L 1549.5 688.5 L 1549.5 734.5 L 1456.5 734.5 Z M 392.5 688.5 L 470.5 688.5 L 470.5 734.5 L 392.5 734.5 Z M 629.5 693.5 L 873.5 693.5 L 873.5 740.5 L 629.5 740.5 Z M 511.5 723.5 L 588.5 723.5 L 588.5 770.5 L 511.5 770.5 Z M 915.5 666.5 L 991.5 666.5 L 991.5 770.5 L 915.5 770.5 Z M 1176.5 666.5 L 1267.5 666.5 L 1267.5 770.5 L 1176.5 770.5 Z M 1456.5 734.5 L 1549.5 734.5 L 1549.5 781.5 L 1456.5 781.5 Z M 392.5 734.5 L 470.5 734.5 L 470.5 781.5 L 392.5 781.5 Z M 629.5 740.5 L 873.5 740.5 L 873.5 786.5 L 629.5 786.5 Z M 1032.5 666.5 L 1135.5 666.5 L 1135.5 786.5 L 1032.5 786.5 Z M 915.5 770.5 L 991.5 770.5 L 991.5 816.5 L 915.5 816.5 Z M 511.5 770.5 L 588.5 770.5 L 588.5 816.5 L 511.5 816.5 Z M 1176.5 770.5 L 1267.5 770.5 L 1267.5 816.5 L 1176.5 816.5 Z M 392.5 781.5 L 470.5 781.5 L 470.5 827.5 L 392.5 827.5 Z M 1456.5 781.5 L 1549.5 781.5 L 1549.5 827.5 L 1456.5 827.5 Z M 1032.5 786.5 L 1135.5 786.5 L 1135.5 833.5 L 1032.5 833.5 Z M 629.5 786.5 L 873.5 786.5 L 873.5 833.5 L 629.5 833.5 Z M 1176.5 816.5 L 1267.5 816.5 L 1267.5 844.5 L 1176.5 844.5 Z M 1456.5 827.5 L 1549.5 827.5 L 1549.5 844.5 L 1456.5 844.5 Z M 1032.5 833.5 L 1135.5 833.5 L 1135.5 844.5 L 1032.5 844.5 Z M 1308.5 666.5 L 1415.5 666.5 L 1415.5 844.5 L 1308.5 844.5 Z M 629.5 833.5 L 873.5 833.5 L 873.5 844.5 L 629.5 844.5 Z M 511.5 816.5 L 588.5 816.5 L 588.5 844.5 L 511.5 844.5 Z M 392.5 827.5 L 470.5 827.5 L 470.5 844.5 L 392.5 844.5 Z M 915.5 816.5 L 991.5 816.5 L 991.5 844.5 L 915.5 844.5 Z M 915.5 816.5 "/>
+</clipPath>
+<clipPath id="clip6">
+  <path d="M 376.5 228.5 L 448.5 228.5 L 448.5 245.5 L 376.5 245.5 Z M 1308.5 228.5 L 1415.5 228.5 L 1415.5 245.5 L 1308.5 245.5 Z M 607.5 228.5 L 679.5 228.5 L 679.5 245.5 L 607.5 245.5 Z M 1161.5 228.5 L 1267.5 228.5 L 1267.5 245.5 L 1161.5 245.5 Z M 1456.5 228.5 L 1529.5 228.5 L 1529.5 245.5 L 1456.5 245.5 Z M 761.5 228.5 L 873.5 228.5 L 873.5 256.5 L 761.5 256.5 Z M 607.5 245.5 L 679.5 245.5 L 679.5 291.5 L 607.5 291.5 Z M 1456.5 245.5 L 1529.5 245.5 L 1529.5 291.5 L 1456.5 291.5 Z M 1161.5 245.5 L 1267.5 245.5 L 1267.5 291.5 L 1161.5 291.5 Z M 1308.5 245.5 L 1415.5 245.5 L 1415.5 291.5 L 1308.5 291.5 Z M 376.5 245.5 L 448.5 245.5 L 448.5 291.5 L 376.5 291.5 Z M 761.5 256.5 L 873.5 256.5 L 873.5 302.5 L 761.5 302.5 Z M 489.5 228.5 L 566.5 228.5 L 566.5 324.5 L 489.5 324.5 Z M 1456.5 291.5 L 1529.5 291.5 L 1529.5 338.5 L 1456.5 338.5 Z M 607.5 291.5 L 679.5 291.5 L 679.5 338.5 L 607.5 338.5 Z M 1161.5 291.5 L 1267.5 291.5 L 1267.5 338.5 L 1161.5 338.5 Z M 489.5 324.5 L 566.5 324.5 L 566.5 371.5 L 489.5 371.5 Z M 1456.5 338.5 L 1529.5 338.5 L 1529.5 384.5 L 1456.5 384.5 Z M 1161.5 338.5 L 1267.5 338.5 L 1267.5 384.5 L 1161.5 384.5 Z M 607.5 338.5 L 679.5 338.5 L 679.5 384.5 L 607.5 384.5 Z M 376.5 291.5 L 448.5 291.5 L 448.5 387.5 L 376.5 387.5 Z M 489.5 371.5 L 566.5 371.5 L 566.5 417.5 L 489.5 417.5 Z M 607.5 384.5 L 679.5 384.5 L 679.5 431.5 L 607.5 431.5 Z M 761.5 302.5 L 873.5 302.5 L 873.5 431.5 L 761.5 431.5 Z M 376.5 387.5 L 448.5 387.5 L 448.5 434.5 L 376.5 434.5 Z M 489.5 417.5 L 566.5 417.5 L 566.5 464.5 L 489.5 464.5 Z M 761.5 431.5 L 873.5 431.5 L 873.5 477.5 L 761.5 477.5 Z M 607.5 431.5 L 679.5 431.5 L 679.5 477.5 L 607.5 477.5 Z M 1161.5 384.5 L 1267.5 384.5 L 1267.5 502.5 L 1161.5 502.5 Z M 489.5 464.5 L 566.5 464.5 L 566.5 502.5 L 489.5 502.5 Z M 376.5 434.5 L 448.5 434.5 L 448.5 502.5 L 376.5 502.5 Z M 915.5 228.5 L 972.5 228.5 L 972.5 502.5 L 915.5 502.5 Z M 1456.5 384.5 L 1529.5 384.5 L 1529.5 502.5 L 1456.5 502.5 Z M 1013.5 228.5 L 1120.5 228.5 L 1120.5 502.5 L 1013.5 502.5 Z M 761.5 477.5 L 873.5 477.5 L 873.5 502.5 L 761.5 502.5 Z M 1308.5 291.5 L 1415.5 291.5 L 1415.5 502.5 L 1308.5 502.5 Z M 607.5 477.5 L 679.5 477.5 L 679.5 502.5 L 607.5 502.5 Z M 607.5 477.5 "/>
+</clipPath>
+<clipPath id="clip7">
+  <path d="M 1415.5 666.5 L 1456.5 666.5 L 1456.5 844.5 L 1415.5 844.5 Z M 470.5 666.5 L 511.5 666.5 L 511.5 844.5 L 470.5 844.5 Z M 588.5 666.5 L 629.5 666.5 L 629.5 844.5 L 588.5 844.5 Z M 873.5 666.5 L 915.5 666.5 L 915.5 844.5 L 873.5 844.5 Z M 991.5 666.5 L 1032.5 666.5 L 1032.5 844.5 L 991.5 844.5 Z M 1135.5 666.5 L 1176.5 666.5 L 1176.5 844.5 L 1135.5 844.5 Z M 1267.5 666.5 L 1308.5 666.5 L 1308.5 844.5 L 1267.5 844.5 Z M 1267.5 666.5 "/>
+</clipPath>
+<image id="image373" width="32" height="32" xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAAAAABWESUoAAAAAmJLR0QA/4ePzL8AAAAtSURBVDiNY/jPwMDAwMDwHxeNWwZK49E7eFQwwnzDiINmGA0HhtFwGA0HdBoAtQDvIRl1O+YAAAAASUVORK5CYII="/>
+<pattern id="pattern2" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image373"/>
+</pattern>
+<mask id="mask2">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern2);stroke:none;"/>
+</mask>
+<clipPath id="clip8">
+  <path d="M 1415.5 228.5 L 1456.5 228.5 L 1456.5 502.5 L 1415.5 502.5 Z M 448.5 228.5 L 489.5 228.5 L 489.5 502.5 L 448.5 502.5 Z M 566.5 228.5 L 607.5 228.5 L 607.5 502.5 L 566.5 502.5 Z M 873.5 228.5 L 915.5 228.5 L 915.5 502.5 L 873.5 502.5 Z M 972.5 228.5 L 1013.5 228.5 L 1013.5 502.5 L 972.5 502.5 Z M 1120.5 228.5 L 1161.5 228.5 L 1161.5 502.5 L 1120.5 502.5 Z M 1267.5 228.5 L 1308.5 228.5 L 1308.5 502.5 L 1267.5 502.5 Z M 1267.5 228.5 "/>
+</clipPath>
+<image id="image374" width="32" height="32" xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAAAAABWESUoAAAAAmJLR0QA/4ePzL8AAAAtSURBVDiNY/jPwMDAwMDwHxfNCKUZGHHQBPQPEZphNBxgHsSvbjQcIPQICQcAbQzvIWHO67YAAAAASUVORK5CYII="/>
+<pattern id="pattern3" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image374"/>
+</pattern>
+<mask id="mask3">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern3);stroke:none;"/>
+</mask>
+<clipPath id="clip9">
+  <path d="M 331.5 141.5 L 1589.5 141.5 L 1589.5 187.5 L 331.5 187.5 Z M 622.5 187.5 L 690.5 187.5 L 690.5 245.5 L 622.5 245.5 Z M 354.5 187.5 L 449.5 187.5 L 449.5 245.5 L 354.5 245.5 Z M 1449.5 234.5 L 1566.5 234.5 L 1566.5 245.5 L 1449.5 245.5 Z M 1313.5 187.5 L 1403.5 187.5 L 1403.5 245.5 L 1313.5 245.5 Z M 1170.5 234.5 L 1261.5 234.5 L 1261.5 245.5 L 1170.5 245.5 Z M 739.5 234.5 L 819.5 234.5 L 819.5 256.5 L 739.5 256.5 Z M 622.5 245.5 L 690.5 245.5 L 690.5 291.5 L 622.5 291.5 Z M 1170.5 245.5 L 1261.5 245.5 L 1261.5 291.5 L 1170.5 291.5 Z M 354.5 245.5 L 449.5 245.5 L 449.5 291.5 L 354.5 291.5 Z M 1449.5 245.5 L 1566.5 245.5 L 1566.5 291.5 L 1449.5 291.5 Z M 1313.5 245.5 L 1403.5 245.5 L 1403.5 291.5 L 1313.5 291.5 Z M 739.5 256.5 L 819.5 256.5 L 819.5 302.5 L 739.5 302.5 Z M 496.5 254.5 L 575.5 254.5 L 575.5 324.5 L 496.5 324.5 Z M 1449.5 291.5 L 1566.5 291.5 L 1566.5 338.5 L 1449.5 338.5 Z M 1170.5 291.5 L 1261.5 291.5 L 1261.5 338.5 L 1170.5 338.5 Z M 622.5 291.5 L 690.5 291.5 L 690.5 338.5 L 622.5 338.5 Z M 496.5 324.5 L 575.5 324.5 L 575.5 371.5 L 496.5 371.5 Z M 622.5 338.5 L 690.5 338.5 L 690.5 384.5 L 622.5 384.5 Z M 1170.5 338.5 L 1566.5 338.5 L 1566.5 384.5 L 1170.5 384.5 Z M 354.5 291.5 L 449.5 291.5 L 449.5 387.5 L 354.5 387.5 Z M 496.5 371.5 L 575.5 371.5 L 575.5 417.5 L 496.5 417.5 Z M 622.5 384.5 L 690.5 384.5 L 690.5 431.5 L 622.5 431.5 Z M 739.5 302.5 L 819.5 302.5 L 819.5 431.5 L 739.5 431.5 Z M 354.5 387.5 L 449.5 387.5 L 449.5 434.5 L 354.5 434.5 Z M 354.5 434.5 L 449.5 434.5 L 449.5 461.5 L 354.5 461.5 Z M 496.5 417.5 L 575.5 417.5 L 575.5 464.5 L 496.5 464.5 Z M 622.5 431.5 L 690.5 431.5 L 690.5 477.5 L 622.5 477.5 Z M 739.5 431.5 L 819.5 431.5 L 819.5 477.5 L 739.5 477.5 Z M 739.5 477.5 L 819.5 477.5 L 819.5 499.5 L 739.5 499.5 Z M 622.5 477.5 L 690.5 477.5 L 690.5 499.5 L 622.5 499.5 Z M 867.5 264.5 L 947.5 264.5 L 947.5 546.5 L 867.5 546.5 Z M 739.5 499.5 L 797.5 499.5 L 797.5 546.5 L 739.5 546.5 Z M 846.5 546.5 L 947.5 546.5 L 947.5 568.5 L 846.5 568.5 Z M 622.5 546.5 L 797.5 546.5 L 797.5 568.5 L 622.5 568.5 Z M 1410.5 432.5 L 1566.5 432.5 L 1566.5 568.5 L 1410.5 568.5 Z M 1251.5 432.5 L 1363.5 432.5 L 1363.5 568.5 L 1251.5 568.5 Z M 994.5 264.5 L 1079.5 264.5 L 1079.5 568.5 L 994.5 568.5 Z M 1125.5 432.5 L 1204.5 432.5 L 1204.5 568.5 L 1125.5 568.5 Z M 846.5 568.5 L 947.5 568.5 L 947.5 614.5 L 846.5 614.5 Z M 1410.5 568.5 L 1566.5 568.5 L 1566.5 614.5 L 1410.5 614.5 Z M 1251.5 568.5 L 1363.5 568.5 L 1363.5 614.5 L 1251.5 614.5 Z M 994.5 568.5 L 1079.5 568.5 L 1079.5 614.5 L 994.5 614.5 Z M 622.5 568.5 L 797.5 568.5 L 797.5 614.5 L 622.5 614.5 Z M 1125.5 568.5 L 1204.5 568.5 L 1204.5 614.5 L 1125.5 614.5 Z M 1125.5 614.5 L 1204.5 614.5 L 1204.5 636.5 L 1125.5 636.5 Z M 846.5 614.5 L 947.5 614.5 L 947.5 636.5 L 846.5 636.5 Z M 994.5 614.5 L 1079.5 614.5 L 1079.5 636.5 L 994.5 636.5 Z M 1410.5 614.5 L 1566.5 614.5 L 1566.5 636.5 L 1410.5 636.5 Z M 1251.5 614.5 L 1363.5 614.5 L 1363.5 647.5 L 1251.5 647.5 Z M 496.5 464.5 L 575.5 464.5 L 575.5 677.5 L 496.5 677.5 Z M 1289.5 647.5 L 1363.5 647.5 L 1363.5 682.5 L 1289.5 682.5 Z M 354.5 666.5 L 449.5 666.5 L 449.5 688.5 L 354.5 688.5 Z M 622.5 672.5 L 693.5 672.5 L 693.5 693.5 L 622.5 693.5 Z M 739.5 614.5 L 797.5 614.5 L 797.5 693.5 L 739.5 693.5 Z M 496.5 677.5 L 575.5 677.5 L 575.5 723.5 L 496.5 723.5 Z M 354.5 688.5 L 449.5 688.5 L 449.5 734.5 L 354.5 734.5 Z M 1470.5 688.5 L 1566.5 688.5 L 1566.5 734.5 L 1470.5 734.5 Z M 739.5 693.5 L 1243.5 693.5 L 1243.5 740.5 L 739.5 740.5 Z M 622.5 693.5 L 693.5 693.5 L 693.5 740.5 L 622.5 740.5 Z M 1176.5 740.5 L 1243.5 740.5 L 1243.5 770.5 L 1176.5 770.5 Z M 927.5 740.5 L 992.5 740.5 L 992.5 770.5 L 927.5 770.5 Z M 496.5 723.5 L 575.5 723.5 L 575.5 770.5 L 496.5 770.5 Z M 354.5 734.5 L 449.5 734.5 L 449.5 781.5 L 354.5 781.5 Z M 1470.5 734.5 L 1566.5 734.5 L 1566.5 781.5 L 1470.5 781.5 Z M 622.5 740.5 L 693.5 740.5 L 693.5 786.5 L 622.5 786.5 Z M 927.5 770.5 L 992.5 770.5 L 992.5 816.5 L 927.5 816.5 Z M 496.5 770.5 L 575.5 770.5 L 575.5 816.5 L 496.5 816.5 Z M 1176.5 770.5 L 1243.5 770.5 L 1243.5 816.5 L 1176.5 816.5 Z M 1470.5 781.5 L 1566.5 781.5 L 1566.5 827.5 L 1470.5 827.5 Z M 354.5 781.5 L 449.5 781.5 L 449.5 827.5 L 354.5 827.5 Z M 1289.5 682.5 L 1423.5 682.5 L 1423.5 829.5 L 1289.5 829.5 Z M 1039.5 786.5 L 1129.5 786.5 L 1129.5 833.5 L 1039.5 833.5 Z M 622.5 786.5 L 880.5 786.5 L 880.5 833.5 L 622.5 833.5 Z M 927.5 816.5 L 992.5 816.5 L 992.5 838.5 L 927.5 838.5 Z M 1176.5 816.5 L 1243.5 816.5 L 1243.5 838.5 L 1176.5 838.5 Z M 496.5 816.5 L 575.5 816.5 L 575.5 838.5 L 496.5 838.5 Z M 354.5 827.5 L 449.5 827.5 L 449.5 885.5 L 354.5 885.5 Z M 1470.5 827.5 L 1566.5 827.5 L 1566.5 885.5 L 1470.5 885.5 Z M 1039.5 833.5 L 1129.5 833.5 L 1129.5 885.5 L 1039.5 885.5 Z M 622.5 833.5 L 880.5 833.5 L 880.5 885.5 L 622.5 885.5 Z M 331.5 885.5 L 1589.5 885.5 L 1589.5 931.5 L 331.5 931.5 Z M 331.5 885.5 "/>
+</clipPath>
+<clipPath id="clip10">
+  <path d="M 331.5 98.5 L 1589.5 98.5 L 1589.5 141.5 L 331.5 141.5 Z M 331.5 141.5 L 1589.5 141.5 L 1589.5 187.5 L 331.5 187.5 Z M 331.5 187.5 L 1589.5 187.5 L 1589.5 230.5 L 331.5 230.5 Z M 331.5 842.5 L 1589.5 842.5 L 1589.5 885.5 L 331.5 885.5 Z M 331.5 885.5 L 1589.5 885.5 L 1589.5 931.5 L 331.5 931.5 Z M 331.5 931.5 L 1589.5 931.5 L 1589.5 974.5 L 331.5 974.5 Z M 331.5 931.5 "/>
+</clipPath>
+<clipPath id="clip11">
+  <path d="M 370.5 141.5 L 417.5 141.5 L 417.5 187.5 L 370.5 187.5 Z M 1503.5 141.5 L 1549.5 141.5 L 1549.5 187.5 L 1503.5 187.5 Z M 1377.5 141.5 L 1423.5 141.5 L 1423.5 187.5 L 1377.5 187.5 Z M 1251.5 141.5 L 1297.5 141.5 L 1297.5 187.5 L 1251.5 187.5 Z M 1125.5 141.5 L 1172.5 141.5 L 1172.5 187.5 L 1125.5 187.5 Z M 999.5 141.5 L 1046.5 141.5 L 1046.5 187.5 L 999.5 187.5 Z M 873.5 141.5 L 920.5 141.5 L 920.5 187.5 L 873.5 187.5 Z M 748.5 141.5 L 794.5 141.5 L 794.5 187.5 L 748.5 187.5 Z M 622.5 141.5 L 668.5 141.5 L 668.5 187.5 L 622.5 187.5 Z M 496.5 141.5 L 543.5 141.5 L 543.5 187.5 L 496.5 187.5 Z M 370.5 885.5 L 417.5 885.5 L 417.5 931.5 L 370.5 931.5 Z M 1503.5 885.5 L 1549.5 885.5 L 1549.5 931.5 L 1503.5 931.5 Z M 1377.5 885.5 L 1423.5 885.5 L 1423.5 931.5 L 1377.5 931.5 Z M 1251.5 885.5 L 1297.5 885.5 L 1297.5 931.5 L 1251.5 931.5 Z M 1125.5 885.5 L 1172.5 885.5 L 1172.5 931.5 L 1125.5 931.5 Z M 999.5 885.5 L 1046.5 885.5 L 1046.5 931.5 L 999.5 931.5 Z M 873.5 885.5 L 920.5 885.5 L 920.5 931.5 L 873.5 931.5 Z M 748.5 885.5 L 794.5 885.5 L 794.5 931.5 L 748.5 931.5 Z M 622.5 885.5 L 668.5 885.5 L 668.5 931.5 L 622.5 931.5 Z M 496.5 885.5 L 543.5 885.5 L 543.5 931.5 L 496.5 931.5 Z M 496.5 885.5 "/>
+</clipPath>
+<image id="image375" width="32" height="32" xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAAAAABWESUoAAAAAmJLR0QA/4ePzL8AAAA9SURBVDiN7ZAhEgAgDMNS/v/nIsAgtnpuMzG7axsIJ9xTYEDUBJ/nkqGDQ48FulEFQ36uMR7o94+Hl3942JrBc/FcUmODAAAAAElFTkSuQmCC"/>
+<pattern id="pattern4" patternUnits="userSpaceOnUse" width="32" height="32"  patternTransform="matrix(1,0,0,1,0.5,1079.5)">
+  <use xlink:href="#image375"/>
+</pattern>
+<mask id="mask4">
+<rect x="0" y="0" width="1898" height="1059" style="fill:url(#pattern4);stroke:none;"/>
+</mask>
+</defs>
+<g id="surface369">
+<g clip-path="url(#clip1)" clip-rule="nonzero">
+
+</g>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,87.058824%,0%);stroke-opacity:0.496063;stroke-miterlimit:10;" d="M 279 967 L 1641 967 M 279 528 L 1641 528 M 279 528 L 279 967 M 1641 528 L 1641 967 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<g clip-path="url(#clip2)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(0%,87.058824%,0%);fill-opacity:0.496063;stroke:none;" mask="url(#mask0)"/>
+</g>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(78.431374%,45.490199%,10.196079%);stroke-opacity:0.496063;stroke-miterlimit:10;" d="M 370 194 L 417 194 M 370 148 L 417 148 M 370 148 L 370 194 M 417 148 L 417 194 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<g clip-path="url(#clip3)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(78.431374%,45.490199%,10.196079%);fill-opacity:0.496063;stroke:none;" mask="url(#mask1)"/>
+</g>
+<g clip-path="url(#clip4)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(92.54902%,0%,0%);fill-opacity:0.944882;stroke:none;"/>
+</g>
+<g clip-path="url(#clip5)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(0%,87.058824%,0%);fill-opacity:0.944882;stroke:none;"/>
+</g>
+<g clip-path="url(#clip6)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(78.431374%,45.490199%,10.196079%);fill-opacity:0.944882;stroke:none;"/>
+</g>
+<g clip-path="url(#clip7)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(52.549022%,22.745104%,0%);fill-opacity:0.944882;stroke:none;"/>
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(0%,87.058824%,0%);fill-opacity:0.944882;stroke:none;" mask="url(#mask2)"/>
+</g>
+<g clip-path="url(#clip8)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(64.313728%,0%,0%);fill-opacity:0.944882;stroke:none;"/>
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(78.431374%,45.490199%,10.196079%);fill-opacity:0.944882;stroke:none;" mask="url(#mask3)"/>
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(100%,100%,0%);fill-opacity:0.15748;stroke:none;"/>
+</g>
+<g clip-path="url(#clip9)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(18.039216%,50.196081%,100%);fill-opacity:0.629921;stroke:none;"/>
+</g>
+<g clip-path="url(#clip10)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(69.01961%,40.000004%,94.117647%);fill-opacity:0.629921;stroke:none;"/>
+</g>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,37.647063%,100%);stroke-opacity:0.944882;stroke-miterlimit:10;" d="M 370 938 L 417 938 M 370 892 L 417 892 M 370 892 L 370 938 M 417 892 L 417 938 M 496 938 L 543 938 M 496 892 L 543 892 M 496 892 L 496 938 M 543 892 L 543 938 M 622 938 L 668 938 M 622 892 L 668 892 M 622 892 L 622 938 M 668 892 L 668 938 M 748 938 L 794 938 M 748 892 L 794 892 M 748 892 L 748 938 M 794 892 L 794 938 M 873 938 L 920 938 M 873 892 L 920 892 M 873 892 L 873 938 M 920 892 L 920 938 M 999 938 L 1046 938 M 999 892 L 1046 892 M 999 892 L 999 938 M 1046 892 L 1046 938 M 1125 938 L 1172 938 M 1125 892 L 1172 892 M 1125 892 L 1125 938 M 1172 892 L 1172 938 M 1251 938 L 1297 938 M 1251 892 L 1297 892 M 1251 892 L 1251 938 M 1297 892 L 1297 938 M 1377 938 L 1423 938 M 1377 892 L 1423 892 M 1377 892 L 1377 938 M 1423 892 L 1423 938 M 1503 938 L 1549 938 M 1503 892 L 1549 892 M 1503 892 L 1503 938 M 1549 892 L 1549 938 M 370 194 L 417 194 M 370 148 L 417 148 M 370 148 L 370 194 M 417 148 L 417 194 M 496 194 L 543 194 M 496 148 L 543 148 M 496 148 L 496 194 M 543 148 L 543 194 M 622 194 L 668 194 M 622 148 L 668 148 M 622 148 L 622 194 M 668 148 L 668 194 M 748 194 L 794 194 M 748 148 L 794 148 M 748 148 L 748 194 M 794 148 L 794 194 M 873 194 L 920 194 M 873 148 L 920 148 M 873 148 L 873 194 M 920 148 L 920 194 M 999 194 L 1046 194 M 999 148 L 1046 148 M 999 148 L 999 194 M 1046 148 L 1046 194 M 1125 194 L 1172 194 M 1125 148 L 1172 148 M 1125 148 L 1125 194 M 1172 148 L 1172 194 M 1251 194 L 1297 194 M 1251 148 L 1297 148 M 1251 148 L 1251 194 M 1297 148 L 1297 194 M 1377 194 L 1423 194 M 1377 148 L 1423 148 M 1377 148 L 1377 194 M 1423 148 L 1423 194 M 1503 194 L 1549 194 M 1503 148 L 1549 148 M 1503 148 L 1503 194 M 1549 148 L 1549 194 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<g clip-path="url(#clip11)" clip-rule="nonzero">
+<rect x="0" y="0" width="1898" height="1059" style="fill:rgb(0%,37.647063%,100%);fill-opacity:0.944882;stroke:none;" mask="url(#mask4)"/>
+</g>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,0%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 387 834 L 433 834 M 387 788 L 433 788 M 387 788 L 387 834 M 433 788 L 433 834 M 387 692 L 433 692 M 387 645 L 433 645 M 387 645 L 387 692 M 433 645 L 433 692 M 504 755 L 551 755 M 504 708 L 551 708 M 504 708 L 504 755 M 551 708 L 551 755 M 504 662 L 551 662 M 504 615 L 551 615 M 504 615 L 504 662 M 551 615 L 551 662 M 622 834 L 668 834 M 622 788 L 668 788 M 622 788 L 622 834 M 668 788 L 668 834 M 622 741 L 668 741 M 622 695 L 668 695 M 622 695 L 622 741 M 668 695 L 668 741 M 622 648 L 668 648 M 622 602 L 668 602 M 622 602 L 622 648 M 668 602 L 668 648 M 772 823 L 819 823 M 772 777 L 819 777 M 772 777 L 772 823 M 819 777 L 819 823 M 772 648 L 819 648 M 772 602 L 819 602 M 772 602 L 772 648 M 819 602 L 819 648 M 1192 834 L 1239 834 M 1192 788 L 1239 788 M 1192 788 L 1192 834 M 1239 788 L 1239 834 M 1192 741 L 1239 741 M 1192 695 L 1239 695 M 1192 695 L 1192 741 M 1239 695 L 1239 741 M 1334 834 L 1381 834 M 1334 788 L 1381 788 M 1334 788 L 1334 834 M 1381 788 L 1381 834 M 1471 834 L 1518 834 M 1471 788 L 1518 788 M 1471 788 L 1471 834 M 1518 788 L 1518 834 M 1471 741 L 1518 741 M 1471 695 L 1518 695 M 1471 695 L 1471 741 M 1518 695 L 1518 741 M 649 511 L 696 511 M 649 465 L 696 465 M 649 465 L 649 511 M 696 465 L 696 511 M 846 511 L 893 511 M 846 465 L 893 465 M 846 465 L 846 511 M 893 465 L 893 511 M 994 511 L 1040 511 M 994 465 L 1040 465 M 994 465 L 994 511 M 1040 465 L 1040 511 M 1142 511 L 1188 511 M 1142 465 L 1188 465 M 1142 465 L 1142 511 M 1188 465 L 1188 511 M 1289 511 L 1336 511 M 1289 465 L 1336 465 M 1289 465 L 1289 511 M 1336 465 L 1336 511 M 1437 511 L 1484 511 M 1437 465 L 1484 465 M 1437 465 L 1437 511 M 1484 465 L 1484 511 M 403 391 L 449 391 M 403 345 L 449 345 M 403 345 L 403 391 M 449 345 L 449 391 M 403 298 L 449 298 M 403 252 L 449 252 M 403 252 L 403 298 M 449 252 L 449 298 M 526 402 L 573 402 M 526 356 L 573 356 M 526 356 L 526 402 M 573 356 L 573 402 M 526 309 L 573 309 M 526 263 L 573 263 M 526 263 L 526 309 M 573 263 L 573 309 M 644 386 L 690 386 M 644 339 L 690 339 M 644 339 L 644 386 M 690 339 L 690 386 M 644 293 L 690 293 M 644 246 L 690 246 M 644 246 L 644 293 M 690 246 L 690 293 M 812 293 L 858 293 M 812 246 L 858 246 M 812 246 L 812 293 M 858 246 L 858 293 M 930 309 L 976 309 M 930 263 L 976 263 M 930 263 L 930 309 M 976 263 L 976 309 M 1061 293 L 1107 293 M 1061 246 L 1107 246 M 1061 246 L 1061 293 M 1107 246 L 1107 293 M 1191 309 L 1237 309 M 1191 263 L 1237 263 M 1191 263 L 1191 309 M 1237 263 L 1237 309 M 1492 391 L 1538 391 M 1492 345 L 1538 345 M 1492 345 L 1492 391 M 1538 345 L 1538 391 M 1492 298 L 1538 298 M 1492 252 L 1538 252 M 1492 252 L 1492 298 M 1538 252 L 1538 298 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,0%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 387 788 L 433 834 M 387 834 L 433 788 M 387 645 L 433 692 M 387 692 L 433 645 M 504 708 L 551 755 M 504 755 L 551 708 M 504 615 L 551 662 M 504 662 L 551 615 M 622 788 L 668 834 M 622 834 L 668 788 M 622 695 L 668 741 M 622 741 L 668 695 M 622 602 L 668 648 M 622 648 L 668 602 M 772 777 L 819 823 M 772 823 L 819 777 M 772 602 L 819 648 M 772 648 L 819 602 M 1192 788 L 1239 834 M 1192 834 L 1239 788 M 1192 695 L 1239 741 M 1192 741 L 1239 695 M 1334 788 L 1381 834 M 1334 834 L 1381 788 M 1471 788 L 1518 834 M 1471 834 L 1518 788 M 1471 695 L 1518 741 M 1471 741 L 1518 695 M 649 465 L 696 511 M 649 511 L 696 465 M 846 465 L 893 511 M 846 511 L 893 465 M 994 465 L 1040 511 M 994 511 L 1040 465 M 1142 465 L 1188 511 M 1142 511 L 1188 465 M 1289 465 L 1336 511 M 1289 511 L 1336 465 M 1437 465 L 1484 511 M 1437 511 L 1484 465 M 403 345 L 449 391 M 403 391 L 449 345 M 403 252 L 449 298 M 403 298 L 449 252 M 526 356 L 573 402 M 526 402 L 573 356 M 526 263 L 573 309 M 526 309 L 573 263 M 644 339 L 690 386 M 644 386 L 690 339 M 644 246 L 690 293 M 644 293 L 690 246 M 812 246 L 858 293 M 812 293 L 858 246 M 930 263 L 976 309 M 930 309 L 976 263 M 1061 246 L 1107 293 M 1061 293 L 1107 246 M 1191 263 L 1237 309 M 1191 309 L 1237 263 M 1492 345 L 1538 391 M 1492 391 L 1538 345 M 1492 252 L 1538 298 M 1492 298 L 1538 252 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 861.738281 426.5 L 861.738281 367.199219 L 884.597656 367.199219 L 888.175781 367.441406 L 891.511719 368.09375 L 894.605469 369.230469 L 897.449219 370.695312 L 899.972656 372.730469 L 902.332031 375.089844 L 904.285156 377.9375 L 905.910156 381.027344 L 907.132812 384.523438 L 908.027344 388.265625 L 908.515625 392.414062 L 908.757812 396.808594 L 908.515625 401.445312 L 907.945312 405.757812 L 906.96875 409.660156 L 905.667969 413.242188 L 903.957031 416.414062 L 901.84375 419.179688 L 899.566406 421.457031 L 897.042969 423.246094 L 894.277344 424.710938 L 891.269531 425.6875 L 888.015625 426.335938 L 884.597656 426.5 Z M 869.304688 419.828125 L 883.296875 419.828125 L 887.039062 419.585938 L 890.375 418.691406 L 893.300781 417.144531 L 895.742188 415.113281 L 897.695312 412.347656 L 899.320312 409.011719 L 899.808594 407.300781 L 900.296875 405.511719 L 900.703125 403.558594 L 900.949219 401.445312 L 901.113281 399.25 L 901.191406 396.890625 L 900.867188 391.601562 L 900.054688 386.964844 L 898.589844 382.980469 L 896.636719 379.808594 L 894.117188 377.203125 L 891.023438 375.332031 L 889.886719 374.925781 L 888.664062 374.519531 L 887.363281 374.277344 L 886.0625 374.03125 L 883.296875 373.867188 L 869.304688 373.867188 Z M 869.304688 419.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 934.21875 385.421875 L 921.449219 385.421875 L 921.449219 380.296875 L 923.644531 380.050781 L 925.597656 379.726562 L 927.304688 379.320312 L 928.769531 378.992188 L 929.988281 378.585938 L 931.046875 378.097656 L 931.859375 377.609375 L 932.59375 377.042969 L 933.242188 376.390625 L 933.894531 375.578125 L 934.464844 374.683594 L 935.03125 373.625 L 935.277344 373.054688 L 935.519531 372.40625 L 935.765625 371.671875 L 936.089844 370.777344 L 936.335938 369.882812 L 936.660156 368.824219 L 941.378906 368.824219 L 941.378906 426.5 L 934.21875 426.5 Z M 934.21875 385.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 873 706 L 920 706 M 873 659 L 920 659 M 873 659 L 873 706 M 920 659 L 920 706 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 873 706 L 920 706 M 873 659 L 920 659 M 920 706 L 920 659 M 873 706 L 873 659 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1273.058594 501.683594 L 1250.199219 501.683594 L 1243.9375 519.5 L 1235.882812 519.5 L 1257.03125 460.199219 L 1266.792969 460.199219 L 1287.621094 519.5 L 1279.160156 519.5 Z M 1270.941406 495.339844 L 1261.832031 468.332031 L 1252.070312 495.339844 Z M 1270.941406 495.339844 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1309.746094 478.421875 L 1296.972656 478.421875 L 1296.972656 473.296875 L 1299.171875 473.050781 L 1301.121094 472.726562 L 1302.832031 472.320312 L 1304.296875 471.992188 L 1305.515625 471.585938 L 1306.574219 471.097656 L 1307.386719 470.609375 L 1308.117188 470.042969 L 1308.769531 469.390625 L 1309.421875 468.578125 L 1309.988281 467.683594 L 1310.558594 466.625 L 1310.804688 466.054688 L 1311.046875 465.40625 L 1311.292969 464.671875 L 1311.617188 463.777344 L 1311.859375 462.882812 L 1312.1875 461.824219 L 1316.902344 461.824219 L 1316.902344 519.5 L 1309.746094 519.5 Z M 1309.746094 478.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1251 613 L 1297 613 M 1251 566 L 1297 566 M 1251 566 L 1251 613 M 1297 566 L 1297 613 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1251 613 L 1297 613 M 1251 566 L 1297 566 M 1297 613 L 1297 566 M 1251 613 L 1251 566 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1159.179688 595.578125 L 1159.015625 598.183594 L 1158.609375 600.542969 L 1157.878906 602.738281 L 1156.902344 604.691406 L 1155.597656 606.5625 L 1154.054688 608.1875 L 1152.347656 609.570312 L 1150.554688 610.628906 L 1148.523438 611.441406 L 1146.40625 612.09375 L 1144.128906 612.417969 L 1141.6875 612.5 L 1114.925781 612.5 L 1114.925781 553.199219 L 1139.003906 553.199219 L 1142.503906 553.441406 L 1145.59375 554.011719 L 1148.359375 554.988281 L 1150.71875 556.371094 L 1152.753906 558.160156 L 1154.378906 560.355469 L 1155.03125 561.578125 L 1155.597656 562.878906 L 1156.007812 564.097656 L 1156.332031 565.480469 L 1156.496094 566.863281 L 1156.574219 568.246094 L 1156.332031 571.175781 L 1155.597656 573.699219 L 1154.460938 576.058594 L 1152.832031 578.007812 L 1150.800781 579.796875 L 1148.359375 581.179688 L 1150.71875 582.238281 L 1152.753906 583.378906 L 1154.542969 584.679688 L 1156.007812 586.144531 L 1157.144531 587.6875 L 1158.039062 589.316406 L 1158.367188 590.292969 L 1158.851562 592.246094 L 1159.015625 593.382812 L 1159.097656 594.441406 Z M 1149.011719 569.304688 L 1148.765625 566.863281 L 1148.035156 564.832031 L 1146.894531 563.042969 L 1145.269531 561.738281 L 1143.234375 560.765625 L 1140.714844 560.113281 L 1140.144531 560.113281 L 1139.003906 559.949219 L 1137.703125 559.949219 L 1137.132812 559.867188 L 1122.492188 559.867188 L 1122.492188 578.742188 L 1137.132812 578.742188 L 1140.144531 578.578125 L 1142.746094 578.089844 L 1144.941406 577.195312 L 1146.570312 575.976562 L 1147.871094 574.347656 L 1148.683594 572.394531 L 1148.765625 571.988281 L 1148.929688 571.011719 L 1148.929688 569.875 Z M 1140.957031 605.828125 L 1143.234375 605.75 L 1145.269531 605.261719 L 1147.058594 604.445312 L 1148.523438 603.390625 L 1149.742188 602.007812 L 1150.71875 600.296875 L 1150.960938 599.648438 L 1151.125 598.914062 L 1151.371094 598.101562 L 1151.449219 597.371094 L 1151.53125 596.554688 L 1151.613281 595.660156 L 1151.449219 593.871094 L 1151.125 592.164062 L 1150.554688 590.699219 L 1149.742188 589.316406 L 1148.683594 588.175781 L 1147.464844 587.121094 L 1146.570312 586.632812 L 1145.59375 586.226562 L 1144.535156 585.898438 L 1143.398438 585.65625 L 1142.175781 585.492188 L 1140.957031 585.410156 L 1122.492188 585.410156 L 1122.492188 605.828125 Z M 1140.957031 605.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1183.746094 571.421875 L 1170.972656 571.421875 L 1170.972656 566.296875 L 1173.171875 566.050781 L 1175.121094 565.726562 L 1176.832031 565.320312 L 1178.296875 564.992188 L 1179.515625 564.585938 L 1180.574219 564.097656 L 1181.386719 563.609375 L 1182.117188 563.042969 L 1182.769531 562.390625 L 1183.421875 561.578125 L 1183.988281 560.683594 L 1184.558594 559.625 L 1184.804688 559.054688 L 1185.046875 558.40625 L 1185.292969 557.671875 L 1185.617188 556.777344 L 1185.859375 555.882812 L 1186.1875 554.824219 L 1190.902344 554.824219 L 1190.902344 612.5 L 1183.746094 612.5 Z M 1183.746094 571.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1125 520 L 1172 520 M 1125 473 L 1172 473 M 1125 473 L 1125 520 M 1172 473 L 1172 520 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1125 520 L 1172 520 M 1125 473 L 1172 473 M 1172 520 L 1172 473 M 1125 520 L 1125 473 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 985.40625 489.667969 L 985.484375 486.414062 L 985.8125 483.242188 L 986.378906 480.234375 L 987.113281 477.304688 L 988.089844 474.539062 L 989.390625 471.855469 L 991.425781 468.355469 L 993.945312 465.347656 L 996.792969 462.90625 L 1000.046875 460.953125 L 1003.625 459.570312 L 1007.695312 458.675781 L 1008.425781 458.59375 L 1009.238281 458.515625 L 1009.972656 458.433594 L 1011.597656 458.433594 L 1012.492188 458.351562 L 1017.699219 458.757812 L 1022.253906 459.976562 L 1026.160156 461.929688 L 1029.414062 464.695312 L 1032.015625 468.195312 L 1034.050781 472.503906 L 1034.292969 473.320312 L 1034.539062 474.132812 L 1034.78125 475.027344 L 1034.945312 475.921875 L 1035.105469 476.816406 L 1035.351562 477.710938 L 1027.625 477.710938 L 1026.808594 474.863281 L 1025.753906 472.425781 L 1024.449219 470.308594 L 1022.742188 468.519531 L 1020.871094 467.136719 L 1018.675781 466.078125 L 1017.617188 465.753906 L 1016.480469 465.511719 L 1015.339844 465.347656 L 1014.121094 465.183594 L 1012.898438 465.101562 L 1011.597656 465.023438 L 1008.75 465.265625 L 1006.148438 465.835938 L 1003.707031 466.730469 L 1001.511719 468.113281 L 999.476562 469.820312 L 997.769531 471.855469 L 996.304688 474.214844 L 995.085938 476.816406 L 994.109375 479.664062 L 993.457031 482.753906 L 993.050781 486.089844 L 992.96875 489.589844 L 993.050781 493.410156 L 993.539062 496.828125 L 994.351562 500.082031 L 995.492188 502.929688 L 996.957031 505.613281 L 998.746094 507.890625 L 1000.535156 509.761719 L 1002.488281 511.226562 L 1004.601562 512.367188 L 1006.960938 513.179688 L 1009.484375 513.667969 L 1012.25 513.828125 L 1015.175781 513.667969 L 1017.863281 513.097656 L 1020.300781 512.121094 L 1022.335938 510.738281 L 1024.125 508.949219 L 1025.671875 506.753906 L 1026.320312 505.449219 L 1026.890625 503.988281 L 1027.460938 502.441406 L 1027.949219 500.734375 L 1028.355469 498.941406 L 1028.761719 496.992188 L 1036.570312 496.992188 L 1035.105469 504.230469 L 1032.667969 510.085938 L 1029.085938 514.644531 L 1024.53125 517.898438 L 1018.839844 519.769531 L 1012.167969 520.5 L 1007.449219 520.175781 L 1003.21875 519.199219 L 999.394531 517.734375 L 995.980469 515.539062 L 992.96875 512.691406 L 990.449219 509.191406 L 988.984375 506.671875 L 987.765625 503.90625 L 986.789062 500.976562 L 986.054688 497.886719 L 985.566406 494.632812 L 985.40625 491.214844 Z M 985.40625 489.667969 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1061.21875 477.550781 L 1048.449219 477.550781 L 1048.449219 472.425781 L 1050.644531 472.179688 L 1052.597656 471.855469 L 1054.304688 471.449219 L 1055.769531 471.121094 L 1056.988281 470.714844 L 1058.046875 470.226562 L 1058.859375 469.738281 L 1059.59375 469.171875 L 1060.242188 468.519531 L 1060.894531 467.707031 L 1061.464844 466.8125 L 1062.03125 465.753906 L 1062.277344 465.183594 L 1062.519531 464.535156 L 1062.765625 463.800781 L 1063.089844 462.90625 L 1063.335938 462.011719 L 1063.660156 460.953125 L 1068.378906 460.953125 L 1068.378906 518.628906 L 1061.21875 518.628906 Z M 1061.21875 477.550781 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 999 613 L 1046 613 M 999 566 L 1046 566 M 999 566 L 999 613 M 1046 566 L 1046 613 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 999 613 L 1046 613 M 999 566 L 1046 566 M 1046 613 L 1046 566 M 999 613 L 999 566 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 525.304688 396.078125 L 546.292969 426.5 L 536.9375 426.5 L 520.75 401.769531 L 504.480469 426.5 L 495.289062 426.5 L 516.277344 396.078125 L 496.589844 367.199219 L 505.785156 367.199219 L 520.996094 390.464844 L 536.289062 367.199219 L 545.316406 367.199219 Z M 525.304688 396.078125 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 496 706 L 543 706 M 496 659 L 543 659 M 496 659 L 496 706 M 543 659 L 543 706 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 496 706 L 543 706 M 496 659 L 543 659 M 543 706 L 543 659 M 496 706 L 496 659 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1518.058594 594.683594 L 1495.199219 594.683594 L 1488.9375 612.5 L 1480.882812 612.5 L 1502.03125 553.199219 L 1511.792969 553.199219 L 1532.621094 612.5 L 1524.160156 612.5 Z M 1515.941406 588.339844 L 1506.832031 561.332031 L 1497.070312 588.339844 Z M 1515.941406 588.339844 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1537.742188 574.835938 L 1538.394531 568.734375 L 1540.101562 563.773438 L 1542.789062 559.867188 L 1546.449219 557.101562 L 1551.085938 555.394531 L 1556.777344 554.824219 L 1559.382812 554.988281 L 1561.902344 555.394531 L 1564.261719 556.046875 L 1566.378906 557.023438 L 1568.332031 558.242188 L 1570.203125 559.625 L 1571.664062 561.332031 L 1572.96875 563.121094 L 1573.941406 565.074219 L 1574.675781 567.109375 L 1575.082031 569.386719 L 1575.246094 571.746094 L 1574.917969 575.082031 L 1574.023438 578.171875 L 1572.480469 581.019531 L 1570.363281 583.703125 L 1567.597656 586.226562 L 1564.261719 588.421875 L 1563.042969 589.152344 L 1554.90625 593.546875 L 1552.792969 594.765625 L 1550.921875 595.988281 L 1549.296875 597.207031 L 1547.992188 598.425781 L 1546.855469 599.648438 L 1546.042969 600.867188 L 1545.632812 601.597656 L 1545.308594 602.25 L 1545.066406 603.0625 L 1544.820312 603.796875 L 1544.578125 604.609375 L 1544.496094 605.421875 L 1574.839844 605.421875 L 1574.839844 612.5 L 1536.441406 612.5 L 1536.605469 609.652344 L 1537.011719 607.050781 L 1537.5 604.609375 L 1538.152344 602.496094 L 1538.882812 600.460938 L 1539.777344 598.671875 L 1540.753906 597.125 L 1541.972656 595.578125 L 1543.4375 594.035156 L 1545.066406 592.570312 L 1546.9375 591.105469 L 1549.132812 589.640625 L 1549.621094 589.316406 L 1550.191406 588.992188 L 1550.757812 588.585938 L 1551.328125 588.257812 L 1551.980469 587.933594 L 1552.628906 587.527344 L 1560.113281 583.296875 L 1562.472656 581.75 L 1564.425781 580.042969 L 1565.972656 578.253906 L 1567.027344 576.300781 L 1567.679688 574.1875 L 1567.921875 571.90625 L 1567.761719 570.363281 L 1567.515625 568.816406 L 1566.949219 567.433594 L 1566.296875 566.132812 L 1565.320312 564.992188 L 1564.261719 563.855469 L 1563.125 563.042969 L 1561.984375 562.308594 L 1560.683594 561.820312 L 1559.382812 561.414062 L 1558 561.171875 L 1556.535156 561.089844 L 1553.363281 561.496094 L 1550.679688 562.472656 L 1548.480469 564.179688 L 1546.855469 566.539062 L 1545.714844 569.628906 L 1545.066406 573.292969 L 1544.984375 573.617188 L 1544.984375 574.105469 L 1544.902344 574.347656 L 1544.902344 574.835938 Z M 1537.742188 574.835938 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1503 520 L 1549 520 M 1503 473 L 1549 473 M 1503 473 L 1503 520 M 1549 473 L 1549 520 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1503 520 L 1549 520 M 1503 473 L 1549 473 M 1549 520 L 1549 473 M 1503 520 L 1503 473 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 861.738281 612.5 L 861.738281 553.199219 L 884.597656 553.199219 L 888.175781 553.441406 L 891.511719 554.09375 L 894.605469 555.230469 L 897.449219 556.695312 L 899.972656 558.730469 L 902.332031 561.089844 L 904.285156 563.9375 L 905.910156 567.027344 L 907.132812 570.523438 L 908.027344 574.265625 L 908.515625 578.414062 L 908.757812 582.808594 L 908.515625 587.445312 L 907.945312 591.757812 L 906.96875 595.660156 L 905.667969 599.242188 L 903.957031 602.414062 L 901.84375 605.179688 L 899.566406 607.457031 L 897.042969 609.246094 L 894.277344 610.710938 L 891.269531 611.6875 L 888.015625 612.335938 L 884.597656 612.5 Z M 869.304688 605.828125 L 883.296875 605.828125 L 887.039062 605.585938 L 890.375 604.691406 L 893.300781 603.144531 L 895.742188 601.113281 L 897.695312 598.347656 L 899.320312 595.011719 L 899.808594 593.300781 L 900.296875 591.511719 L 900.703125 589.558594 L 900.949219 587.445312 L 901.113281 585.25 L 901.191406 582.890625 L 900.867188 577.601562 L 900.054688 572.964844 L 898.589844 568.980469 L 896.636719 565.808594 L 894.117188 563.203125 L 891.023438 561.332031 L 889.886719 560.925781 L 888.664062 560.519531 L 887.363281 560.277344 L 886.0625 560.03125 L 883.296875 559.867188 L 869.304688 559.867188 Z M 869.304688 605.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 934.21875 571.421875 L 921.449219 571.421875 L 921.449219 566.296875 L 923.644531 566.050781 L 925.597656 565.726562 L 927.304688 565.320312 L 928.769531 564.992188 L 929.988281 564.585938 L 931.046875 564.097656 L 931.859375 563.609375 L 932.59375 563.042969 L 933.242188 562.390625 L 933.894531 561.578125 L 934.464844 560.683594 L 935.03125 559.625 L 935.277344 559.054688 L 935.519531 558.40625 L 935.765625 557.671875 L 936.089844 556.777344 L 936.335938 555.882812 L 936.660156 554.824219 L 941.378906 554.824219 L 941.378906 612.5 L 934.21875 612.5 Z M 934.21875 571.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 873 520 L 920 520 M 873 473 L 920 473 M 873 473 L 873 520 M 920 473 L 920 520 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 873 520 L 920 520 M 873 473 L 920 473 M 920 520 L 920 473 M 873 520 L 873 473 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 861.738281 519.5 L 861.738281 460.199219 L 884.597656 460.199219 L 888.175781 460.441406 L 891.511719 461.09375 L 894.605469 462.230469 L 897.449219 463.695312 L 899.972656 465.730469 L 902.332031 468.089844 L 904.285156 470.9375 L 905.910156 474.027344 L 907.132812 477.523438 L 908.027344 481.265625 L 908.515625 485.414062 L 908.757812 489.808594 L 908.515625 494.445312 L 907.945312 498.757812 L 906.96875 502.660156 L 905.667969 506.242188 L 903.957031 509.414062 L 901.84375 512.179688 L 899.566406 514.457031 L 897.042969 516.246094 L 894.277344 517.710938 L 891.269531 518.6875 L 888.015625 519.335938 L 884.597656 519.5 Z M 869.304688 512.828125 L 883.296875 512.828125 L 887.039062 512.585938 L 890.375 511.691406 L 893.300781 510.144531 L 895.742188 508.113281 L 897.695312 505.347656 L 899.320312 502.011719 L 899.808594 500.300781 L 900.296875 498.511719 L 900.703125 496.558594 L 900.949219 494.445312 L 901.113281 492.25 L 901.191406 489.890625 L 900.867188 484.601562 L 900.054688 479.964844 L 898.589844 475.980469 L 896.636719 472.808594 L 894.117188 470.203125 L 891.023438 468.332031 L 889.886719 467.925781 L 888.664062 467.519531 L 887.363281 467.277344 L 886.0625 467.03125 L 883.296875 466.867188 L 869.304688 466.867188 Z M 869.304688 512.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 934.21875 478.421875 L 921.449219 478.421875 L 921.449219 473.296875 L 923.644531 473.050781 L 925.597656 472.726562 L 927.304688 472.320312 L 928.769531 471.992188 L 929.988281 471.585938 L 931.046875 471.097656 L 931.859375 470.609375 L 932.59375 470.042969 L 933.242188 469.390625 L 933.894531 468.578125 L 934.464844 467.683594 L 935.03125 466.625 L 935.277344 466.054688 L 935.519531 465.40625 L 935.765625 464.671875 L 936.089844 463.777344 L 936.335938 462.882812 L 936.660156 461.824219 L 941.378906 461.824219 L 941.378906 519.5 L 934.21875 519.5 Z M 934.21875 478.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 873 613 L 920 613 M 873 566 L 920 566 M 873 566 L 873 613 M 920 566 L 920 613 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 873 613 L 920 613 M 873 566 L 920 566 M 920 613 L 920 566 M 873 613 L 873 566 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 525.304688 489.078125 L 546.292969 519.5 L 536.9375 519.5 L 520.75 494.769531 L 504.480469 519.5 L 495.289062 519.5 L 516.277344 489.078125 L 496.589844 460.199219 L 505.785156 460.199219 L 520.996094 483.464844 L 536.289062 460.199219 L 545.316406 460.199219 Z M 525.304688 489.078125 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 496 613 L 543 613 M 496 566 L 543 566 M 496 566 L 496 613 M 543 566 L 543 613 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 496 613 L 543 613 M 496 566 L 543 566 M 543 613 L 543 566 M 496 613 L 496 566 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 525.304688 582.078125 L 546.292969 612.5 L 536.9375 612.5 L 520.75 587.769531 L 504.480469 612.5 L 495.289062 612.5 L 516.277344 582.078125 L 496.589844 553.199219 L 505.785156 553.199219 L 520.996094 576.464844 L 536.289062 553.199219 L 545.316406 553.199219 Z M 525.304688 582.078125 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 496 520 L 543 520 M 496 473 L 543 473 M 496 473 L 496 520 M 543 473 L 543 520 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 496 520 L 543 520 M 496 473 L 543 473 M 543 520 L 543 473 M 496 520 L 496 473 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 525.304688 675.078125 L 546.292969 705.5 L 536.9375 705.5 L 520.75 680.769531 L 504.480469 705.5 L 495.289062 705.5 L 516.277344 675.078125 L 496.589844 646.199219 L 505.785156 646.199219 L 520.996094 669.464844 L 536.289062 646.199219 L 545.316406 646.199219 Z M 525.304688 675.078125 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 496 427 L 543 427 M 496 380 L 543 380 M 496 380 L 496 427 M 543 380 L 543 427 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 496 427 L 543 427 M 496 380 L 543 380 M 543 427 L 543 380 M 496 427 L 496 380 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 525.304688 768.078125 L 546.292969 798.5 L 536.9375 798.5 L 520.75 773.769531 L 504.480469 798.5 L 495.289062 798.5 L 516.277344 768.078125 L 496.589844 739.199219 L 505.785156 739.199219 L 520.996094 762.464844 L 536.289062 739.199219 L 545.316406 739.199219 Z M 525.304688 768.078125 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 496 334 L 543 334 M 496 287 L 543 287 M 496 287 L 496 334 M 543 287 L 543 334 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 496 334 L 543 334 M 496 287 L 543 287 M 543 334 L 543 287 M 496 334 L 496 287 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 525.304688 303.078125 L 546.292969 333.5 L 536.9375 333.5 L 520.75 308.769531 L 504.480469 333.5 L 495.289062 333.5 L 516.277344 303.078125 L 496.589844 274.199219 L 505.785156 274.199219 L 520.996094 297.464844 L 536.289062 274.199219 L 545.316406 274.199219 Z M 525.304688 303.078125 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 496 799 L 543 799 M 496 752 L 543 752 M 496 752 L 496 799 M 543 752 L 543 799 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 496 799 L 543 799 M 496 752 L 543 752 M 543 799 L 543 752 M 496 799 L 496 752 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 985.40625 582.667969 L 985.484375 579.414062 L 985.8125 576.242188 L 986.378906 573.234375 L 987.113281 570.304688 L 988.089844 567.539062 L 989.390625 564.855469 L 991.425781 561.355469 L 993.945312 558.347656 L 996.792969 555.90625 L 1000.046875 553.953125 L 1003.625 552.570312 L 1007.695312 551.675781 L 1008.425781 551.59375 L 1009.238281 551.515625 L 1009.972656 551.433594 L 1011.597656 551.433594 L 1012.492188 551.351562 L 1017.699219 551.757812 L 1022.253906 552.976562 L 1026.160156 554.929688 L 1029.414062 557.695312 L 1032.015625 561.195312 L 1034.050781 565.503906 L 1034.292969 566.320312 L 1034.539062 567.132812 L 1034.78125 568.027344 L 1034.945312 568.921875 L 1035.105469 569.816406 L 1035.351562 570.710938 L 1027.625 570.710938 L 1026.808594 567.863281 L 1025.753906 565.425781 L 1024.449219 563.308594 L 1022.742188 561.519531 L 1020.871094 560.136719 L 1018.675781 559.078125 L 1017.617188 558.753906 L 1016.480469 558.511719 L 1015.339844 558.347656 L 1014.121094 558.183594 L 1012.898438 558.101562 L 1011.597656 558.023438 L 1008.75 558.265625 L 1006.148438 558.835938 L 1003.707031 559.730469 L 1001.511719 561.113281 L 999.476562 562.820312 L 997.769531 564.855469 L 996.304688 567.214844 L 995.085938 569.816406 L 994.109375 572.664062 L 993.457031 575.753906 L 993.050781 579.089844 L 992.96875 582.589844 L 993.050781 586.410156 L 993.539062 589.828125 L 994.351562 593.082031 L 995.492188 595.929688 L 996.957031 598.613281 L 998.746094 600.890625 L 1000.535156 602.761719 L 1002.488281 604.226562 L 1004.601562 605.367188 L 1006.960938 606.179688 L 1009.484375 606.667969 L 1012.25 606.828125 L 1015.175781 606.667969 L 1017.863281 606.097656 L 1020.300781 605.121094 L 1022.335938 603.738281 L 1024.125 601.949219 L 1025.671875 599.753906 L 1026.320312 598.449219 L 1026.890625 596.988281 L 1027.460938 595.441406 L 1027.949219 593.734375 L 1028.355469 591.941406 L 1028.761719 589.992188 L 1036.570312 589.992188 L 1035.105469 597.230469 L 1032.667969 603.085938 L 1029.085938 607.644531 L 1024.53125 610.898438 L 1018.839844 612.769531 L 1012.167969 613.5 L 1007.449219 613.175781 L 1003.21875 612.199219 L 999.394531 610.734375 L 995.980469 608.539062 L 992.96875 605.691406 L 990.449219 602.191406 L 988.984375 599.671875 L 987.765625 596.90625 L 986.789062 593.976562 L 986.054688 590.886719 L 985.566406 587.632812 L 985.40625 584.214844 Z M 985.40625 582.667969 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1061.21875 570.550781 L 1048.449219 570.550781 L 1048.449219 565.425781 L 1050.644531 565.179688 L 1052.597656 564.855469 L 1054.304688 564.449219 L 1055.769531 564.121094 L 1056.988281 563.714844 L 1058.046875 563.226562 L 1058.859375 562.738281 L 1059.59375 562.171875 L 1060.242188 561.519531 L 1060.894531 560.707031 L 1061.464844 559.8125 L 1062.03125 558.753906 L 1062.277344 558.183594 L 1062.519531 557.535156 L 1062.765625 556.800781 L 1063.089844 555.90625 L 1063.335938 555.011719 L 1063.660156 553.953125 L 1068.378906 553.953125 L 1068.378906 611.628906 L 1061.21875 611.628906 Z M 1061.21875 570.550781 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 999 520 L 1046 520 M 999 473 L 1046 473 M 999 473 L 999 520 M 1046 473 L 1046 520 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 999 520 L 1046 520 M 999 473 L 1046 473 M 1046 520 L 1046 473 M 999 520 L 999 473 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 985.40625 396.667969 L 985.484375 393.414062 L 985.8125 390.242188 L 986.378906 387.234375 L 987.113281 384.304688 L 988.089844 381.539062 L 989.390625 378.855469 L 991.425781 375.355469 L 993.945312 372.347656 L 996.792969 369.90625 L 1000.046875 367.953125 L 1003.625 366.570312 L 1007.695312 365.675781 L 1008.425781 365.59375 L 1009.238281 365.515625 L 1009.972656 365.433594 L 1011.597656 365.433594 L 1012.492188 365.351562 L 1017.699219 365.757812 L 1022.253906 366.976562 L 1026.160156 368.929688 L 1029.414062 371.695312 L 1032.015625 375.195312 L 1034.050781 379.503906 L 1034.292969 380.320312 L 1034.539062 381.132812 L 1034.78125 382.027344 L 1034.945312 382.921875 L 1035.105469 383.816406 L 1035.351562 384.710938 L 1027.625 384.710938 L 1026.808594 381.863281 L 1025.753906 379.425781 L 1024.449219 377.308594 L 1022.742188 375.519531 L 1020.871094 374.136719 L 1018.675781 373.078125 L 1017.617188 372.753906 L 1016.480469 372.511719 L 1015.339844 372.347656 L 1014.121094 372.183594 L 1012.898438 372.101562 L 1011.597656 372.023438 L 1008.75 372.265625 L 1006.148438 372.835938 L 1003.707031 373.730469 L 1001.511719 375.113281 L 999.476562 376.820312 L 997.769531 378.855469 L 996.304688 381.214844 L 995.085938 383.816406 L 994.109375 386.664062 L 993.457031 389.753906 L 993.050781 393.089844 L 992.96875 396.589844 L 993.050781 400.410156 L 993.539062 403.828125 L 994.351562 407.082031 L 995.492188 409.929688 L 996.957031 412.613281 L 998.746094 414.890625 L 1000.535156 416.761719 L 1002.488281 418.226562 L 1004.601562 419.367188 L 1006.960938 420.179688 L 1009.484375 420.667969 L 1012.25 420.828125 L 1015.175781 420.667969 L 1017.863281 420.097656 L 1020.300781 419.121094 L 1022.335938 417.738281 L 1024.125 415.949219 L 1025.671875 413.753906 L 1026.320312 412.449219 L 1026.890625 410.988281 L 1027.460938 409.441406 L 1027.949219 407.734375 L 1028.355469 405.941406 L 1028.761719 403.992188 L 1036.570312 403.992188 L 1035.105469 411.230469 L 1032.667969 417.085938 L 1029.085938 421.644531 L 1024.53125 424.898438 L 1018.839844 426.769531 L 1012.167969 427.5 L 1007.449219 427.175781 L 1003.21875 426.199219 L 999.394531 424.734375 L 995.980469 422.539062 L 992.96875 419.691406 L 990.449219 416.191406 L 988.984375 413.671875 L 987.765625 410.90625 L 986.789062 407.976562 L 986.054688 404.886719 L 985.566406 401.632812 L 985.40625 398.214844 Z M 985.40625 396.667969 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1061.21875 384.550781 L 1048.449219 384.550781 L 1048.449219 379.425781 L 1050.644531 379.179688 L 1052.597656 378.855469 L 1054.304688 378.449219 L 1055.769531 378.121094 L 1056.988281 377.714844 L 1058.046875 377.226562 L 1058.859375 376.738281 L 1059.59375 376.171875 L 1060.242188 375.519531 L 1060.894531 374.707031 L 1061.464844 373.8125 L 1062.03125 372.753906 L 1062.277344 372.183594 L 1062.519531 371.535156 L 1062.765625 370.800781 L 1063.089844 369.90625 L 1063.335938 369.011719 L 1063.660156 367.953125 L 1068.378906 367.953125 L 1068.378906 425.628906 L 1061.21875 425.628906 Z M 1061.21875 384.550781 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 999 706 L 1046 706 M 999 659 L 1046 659 M 999 659 L 999 706 M 1046 659 L 1046 706 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 999 706 L 1046 706 M 999 659 L 1046 659 M 1046 706 L 1046 659 M 999 706 L 999 659 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 985.40625 303.667969 L 985.484375 300.414062 L 985.8125 297.242188 L 986.378906 294.234375 L 987.113281 291.304688 L 988.089844 288.539062 L 989.390625 285.855469 L 991.425781 282.355469 L 993.945312 279.347656 L 996.792969 276.90625 L 1000.046875 274.953125 L 1003.625 273.570312 L 1007.695312 272.675781 L 1008.425781 272.59375 L 1009.238281 272.515625 L 1009.972656 272.433594 L 1011.597656 272.433594 L 1012.492188 272.351562 L 1017.699219 272.757812 L 1022.253906 273.976562 L 1026.160156 275.929688 L 1029.414062 278.695312 L 1032.015625 282.195312 L 1034.050781 286.503906 L 1034.292969 287.320312 L 1034.539062 288.132812 L 1034.78125 289.027344 L 1034.945312 289.921875 L 1035.105469 290.816406 L 1035.351562 291.710938 L 1027.625 291.710938 L 1026.808594 288.863281 L 1025.753906 286.425781 L 1024.449219 284.308594 L 1022.742188 282.519531 L 1020.871094 281.136719 L 1018.675781 280.078125 L 1017.617188 279.753906 L 1016.480469 279.511719 L 1015.339844 279.347656 L 1014.121094 279.183594 L 1012.898438 279.101562 L 1011.597656 279.023438 L 1008.75 279.265625 L 1006.148438 279.835938 L 1003.707031 280.730469 L 1001.511719 282.113281 L 999.476562 283.820312 L 997.769531 285.855469 L 996.304688 288.214844 L 995.085938 290.816406 L 994.109375 293.664062 L 993.457031 296.753906 L 993.050781 300.089844 L 992.96875 303.589844 L 993.050781 307.410156 L 993.539062 310.828125 L 994.351562 314.082031 L 995.492188 316.929688 L 996.957031 319.613281 L 998.746094 321.890625 L 1000.535156 323.761719 L 1002.488281 325.226562 L 1004.601562 326.367188 L 1006.960938 327.179688 L 1009.484375 327.667969 L 1012.25 327.828125 L 1015.175781 327.667969 L 1017.863281 327.097656 L 1020.300781 326.121094 L 1022.335938 324.738281 L 1024.125 322.949219 L 1025.671875 320.753906 L 1026.320312 319.449219 L 1026.890625 317.988281 L 1027.460938 316.441406 L 1027.949219 314.734375 L 1028.355469 312.941406 L 1028.761719 310.992188 L 1036.570312 310.992188 L 1035.105469 318.230469 L 1032.667969 324.085938 L 1029.085938 328.644531 L 1024.53125 331.898438 L 1018.839844 333.769531 L 1012.167969 334.5 L 1007.449219 334.175781 L 1003.21875 333.199219 L 999.394531 331.734375 L 995.980469 329.539062 L 992.96875 326.691406 L 990.449219 323.191406 L 988.984375 320.671875 L 987.765625 317.90625 L 986.789062 314.976562 L 986.054688 311.886719 L 985.566406 308.632812 L 985.40625 305.214844 Z M 985.40625 303.667969 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1061.21875 291.550781 L 1048.449219 291.550781 L 1048.449219 286.425781 L 1050.644531 286.179688 L 1052.597656 285.855469 L 1054.304688 285.449219 L 1055.769531 285.121094 L 1056.988281 284.714844 L 1058.046875 284.226562 L 1058.859375 283.738281 L 1059.59375 283.171875 L 1060.242188 282.519531 L 1060.894531 281.707031 L 1061.464844 280.8125 L 1062.03125 279.753906 L 1062.277344 279.183594 L 1062.519531 278.535156 L 1062.765625 277.800781 L 1063.089844 276.90625 L 1063.335938 276.011719 L 1063.660156 274.953125 L 1068.378906 274.953125 L 1068.378906 332.628906 L 1061.21875 332.628906 Z M 1061.21875 291.550781 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 999 799 L 1046 799 M 999 752 L 1046 752 M 999 752 L 999 799 M 1046 752 L 1046 799 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 999 799 L 1046 799 M 999 752 L 1046 752 M 1046 799 L 1046 752 M 999 799 L 999 752 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1159.179688 502.578125 L 1159.015625 505.183594 L 1158.609375 507.542969 L 1157.878906 509.738281 L 1156.902344 511.691406 L 1155.597656 513.5625 L 1154.054688 515.1875 L 1152.347656 516.570312 L 1150.554688 517.628906 L 1148.523438 518.441406 L 1146.40625 519.09375 L 1144.128906 519.417969 L 1141.6875 519.5 L 1114.925781 519.5 L 1114.925781 460.199219 L 1139.003906 460.199219 L 1142.503906 460.441406 L 1145.59375 461.011719 L 1148.359375 461.988281 L 1150.71875 463.371094 L 1152.753906 465.160156 L 1154.378906 467.355469 L 1155.03125 468.578125 L 1155.597656 469.878906 L 1156.007812 471.097656 L 1156.332031 472.480469 L 1156.496094 473.863281 L 1156.574219 475.246094 L 1156.332031 478.175781 L 1155.597656 480.699219 L 1154.460938 483.058594 L 1152.832031 485.007812 L 1150.800781 486.796875 L 1148.359375 488.179688 L 1150.71875 489.238281 L 1152.753906 490.378906 L 1154.542969 491.679688 L 1156.007812 493.144531 L 1157.144531 494.6875 L 1158.039062 496.316406 L 1158.367188 497.292969 L 1158.851562 499.246094 L 1159.015625 500.382812 L 1159.097656 501.441406 Z M 1149.011719 476.304688 L 1148.765625 473.863281 L 1148.035156 471.832031 L 1146.894531 470.042969 L 1145.269531 468.738281 L 1143.234375 467.765625 L 1140.714844 467.113281 L 1140.144531 467.113281 L 1139.003906 466.949219 L 1137.703125 466.949219 L 1137.132812 466.867188 L 1122.492188 466.867188 L 1122.492188 485.742188 L 1137.132812 485.742188 L 1140.144531 485.578125 L 1142.746094 485.089844 L 1144.941406 484.195312 L 1146.570312 482.976562 L 1147.871094 481.347656 L 1148.683594 479.394531 L 1148.765625 478.988281 L 1148.929688 478.011719 L 1148.929688 476.875 Z M 1140.957031 512.828125 L 1143.234375 512.75 L 1145.269531 512.261719 L 1147.058594 511.445312 L 1148.523438 510.390625 L 1149.742188 509.007812 L 1150.71875 507.296875 L 1150.960938 506.648438 L 1151.125 505.914062 L 1151.371094 505.101562 L 1151.449219 504.371094 L 1151.53125 503.554688 L 1151.613281 502.660156 L 1151.449219 500.871094 L 1151.125 499.164062 L 1150.554688 497.699219 L 1149.742188 496.316406 L 1148.683594 495.175781 L 1147.464844 494.121094 L 1146.570312 493.632812 L 1145.59375 493.226562 L 1144.535156 492.898438 L 1143.398438 492.65625 L 1142.175781 492.492188 L 1140.957031 492.410156 L 1122.492188 492.410156 L 1122.492188 512.828125 Z M 1140.957031 512.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1183.746094 478.421875 L 1170.972656 478.421875 L 1170.972656 473.296875 L 1173.171875 473.050781 L 1175.121094 472.726562 L 1176.832031 472.320312 L 1178.296875 471.992188 L 1179.515625 471.585938 L 1180.574219 471.097656 L 1181.386719 470.609375 L 1182.117188 470.042969 L 1182.769531 469.390625 L 1183.421875 468.578125 L 1183.988281 467.683594 L 1184.558594 466.625 L 1184.804688 466.054688 L 1185.046875 465.40625 L 1185.292969 464.671875 L 1185.617188 463.777344 L 1185.859375 462.882812 L 1186.1875 461.824219 L 1190.902344 461.824219 L 1190.902344 519.5 L 1183.746094 519.5 Z M 1183.746094 478.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1125 613 L 1172 613 M 1125 566 L 1172 566 M 1125 566 L 1125 613 M 1172 566 L 1172 613 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1125 613 L 1172 613 M 1125 566 L 1172 566 M 1172 613 L 1172 566 M 1125 613 L 1125 566 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1273.058594 594.683594 L 1250.199219 594.683594 L 1243.9375 612.5 L 1235.882812 612.5 L 1257.03125 553.199219 L 1266.792969 553.199219 L 1287.621094 612.5 L 1279.160156 612.5 Z M 1270.941406 588.339844 L 1261.832031 561.332031 L 1252.070312 588.339844 Z M 1270.941406 588.339844 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1309.746094 571.421875 L 1296.972656 571.421875 L 1296.972656 566.296875 L 1299.171875 566.050781 L 1301.121094 565.726562 L 1302.832031 565.320312 L 1304.296875 564.992188 L 1305.515625 564.585938 L 1306.574219 564.097656 L 1307.386719 563.609375 L 1308.117188 563.042969 L 1308.769531 562.390625 L 1309.421875 561.578125 L 1309.988281 560.683594 L 1310.558594 559.625 L 1310.804688 559.054688 L 1311.046875 558.40625 L 1311.292969 557.671875 L 1311.617188 556.777344 L 1311.859375 555.882812 L 1312.1875 554.824219 L 1316.902344 554.824219 L 1316.902344 612.5 L 1309.746094 612.5 Z M 1309.746094 571.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1251 520 L 1297 520 M 1251 473 L 1297 473 M 1251 473 L 1251 520 M 1297 473 L 1297 520 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1251 520 L 1297 520 M 1251 473 L 1297 473 M 1297 520 L 1297 473 M 1251 520 L 1251 473 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 861.738281 333.5 L 861.738281 274.199219 L 884.597656 274.199219 L 888.175781 274.441406 L 891.511719 275.09375 L 894.605469 276.230469 L 897.449219 277.695312 L 899.972656 279.730469 L 902.332031 282.089844 L 904.285156 284.9375 L 905.910156 288.027344 L 907.132812 291.523438 L 908.027344 295.265625 L 908.515625 299.414062 L 908.757812 303.808594 L 908.515625 308.445312 L 907.945312 312.757812 L 906.96875 316.660156 L 905.667969 320.242188 L 903.957031 323.414062 L 901.84375 326.179688 L 899.566406 328.457031 L 897.042969 330.246094 L 894.277344 331.710938 L 891.269531 332.6875 L 888.015625 333.335938 L 884.597656 333.5 Z M 869.304688 326.828125 L 883.296875 326.828125 L 887.039062 326.585938 L 890.375 325.691406 L 893.300781 324.144531 L 895.742188 322.113281 L 897.695312 319.347656 L 899.320312 316.011719 L 899.808594 314.300781 L 900.296875 312.511719 L 900.703125 310.558594 L 900.949219 308.445312 L 901.113281 306.25 L 901.191406 303.890625 L 900.867188 298.601562 L 900.054688 293.964844 L 898.589844 289.980469 L 896.636719 286.808594 L 894.117188 284.203125 L 891.023438 282.332031 L 889.886719 281.925781 L 888.664062 281.519531 L 887.363281 281.277344 L 886.0625 281.03125 L 883.296875 280.867188 L 869.304688 280.867188 Z M 869.304688 326.828125 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 934.21875 292.421875 L 921.449219 292.421875 L 921.449219 287.296875 L 923.644531 287.050781 L 925.597656 286.726562 L 927.304688 286.320312 L 928.769531 285.992188 L 929.988281 285.585938 L 931.046875 285.097656 L 931.859375 284.609375 L 932.59375 284.042969 L 933.242188 283.390625 L 933.894531 282.578125 L 934.464844 281.683594 L 935.03125 280.625 L 935.277344 280.054688 L 935.519531 279.40625 L 935.765625 278.671875 L 936.089844 277.777344 L 936.335938 276.882812 L 936.660156 275.824219 L 941.378906 275.824219 L 941.378906 333.5 L 934.21875 333.5 Z M 934.21875 292.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 873 799 L 920 799 M 873 752 L 920 752 M 873 752 L 873 799 M 920 752 L 920 799 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 873 799 L 920 799 M 873 752 L 920 752 M 920 799 L 920 752 M 873 799 L 873 752 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1399.058594 780.683594 L 1376.199219 780.683594 L 1369.9375 798.5 L 1361.882812 798.5 L 1383.03125 739.199219 L 1392.792969 739.199219 L 1413.621094 798.5 L 1405.160156 798.5 Z M 1396.941406 774.339844 L 1387.832031 747.332031 L 1378.070312 774.339844 Z M 1396.941406 774.339844 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1435.746094 757.421875 L 1422.972656 757.421875 L 1422.972656 752.296875 L 1425.171875 752.050781 L 1427.121094 751.726562 L 1428.832031 751.320312 L 1430.296875 750.992188 L 1431.515625 750.585938 L 1432.574219 750.097656 L 1433.386719 749.609375 L 1434.117188 749.042969 L 1434.769531 748.390625 L 1435.421875 747.578125 L 1435.988281 746.683594 L 1436.558594 745.625 L 1436.804688 745.054688 L 1437.046875 744.40625 L 1437.292969 743.671875 L 1437.617188 742.777344 L 1437.859375 741.882812 L 1438.1875 740.824219 L 1442.902344 740.824219 L 1442.902344 798.5 L 1435.746094 798.5 Z M 1435.746094 757.421875 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1377 334 L 1423 334 M 1377 287 L 1423 287 M 1377 287 L 1377 334 M 1423 287 L 1423 334 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1377 334 L 1423 334 M 1377 287 L 1423 287 M 1423 334 L 1423 287 M 1377 334 L 1377 287 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1518.058594 501.683594 L 1495.199219 501.683594 L 1488.9375 519.5 L 1480.882812 519.5 L 1502.03125 460.199219 L 1511.792969 460.199219 L 1532.621094 519.5 L 1524.160156 519.5 Z M 1515.941406 495.339844 L 1506.832031 468.332031 L 1497.070312 495.339844 Z M 1515.941406 495.339844 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 1537.742188 481.835938 L 1538.394531 475.734375 L 1540.101562 470.773438 L 1542.789062 466.867188 L 1546.449219 464.101562 L 1551.085938 462.394531 L 1556.777344 461.824219 L 1559.382812 461.988281 L 1561.902344 462.394531 L 1564.261719 463.046875 L 1566.378906 464.023438 L 1568.332031 465.242188 L 1570.203125 466.625 L 1571.664062 468.332031 L 1572.96875 470.121094 L 1573.941406 472.074219 L 1574.675781 474.109375 L 1575.082031 476.386719 L 1575.246094 478.746094 L 1574.917969 482.082031 L 1574.023438 485.171875 L 1572.480469 488.019531 L 1570.363281 490.703125 L 1567.597656 493.226562 L 1564.261719 495.421875 L 1563.042969 496.152344 L 1554.90625 500.546875 L 1552.792969 501.765625 L 1550.921875 502.988281 L 1549.296875 504.207031 L 1547.992188 505.425781 L 1546.855469 506.648438 L 1546.042969 507.867188 L 1545.632812 508.597656 L 1545.308594 509.25 L 1545.066406 510.0625 L 1544.820312 510.796875 L 1544.578125 511.609375 L 1544.496094 512.421875 L 1574.839844 512.421875 L 1574.839844 519.5 L 1536.441406 519.5 L 1536.605469 516.652344 L 1537.011719 514.050781 L 1537.5 511.609375 L 1538.152344 509.496094 L 1538.882812 507.460938 L 1539.777344 505.671875 L 1540.753906 504.125 L 1541.972656 502.578125 L 1543.4375 501.035156 L 1545.066406 499.570312 L 1546.9375 498.105469 L 1549.132812 496.640625 L 1549.621094 496.316406 L 1550.191406 495.992188 L 1550.757812 495.585938 L 1551.328125 495.257812 L 1551.980469 494.933594 L 1552.628906 494.527344 L 1560.113281 490.296875 L 1562.472656 488.75 L 1564.425781 487.042969 L 1565.972656 485.253906 L 1567.027344 483.300781 L 1567.679688 481.1875 L 1567.921875 478.90625 L 1567.761719 477.363281 L 1567.515625 475.816406 L 1566.949219 474.433594 L 1566.296875 473.132812 L 1565.320312 471.992188 L 1564.261719 470.855469 L 1563.125 470.042969 L 1561.984375 469.308594 L 1560.683594 468.820312 L 1559.382812 468.414062 L 1558 468.171875 L 1556.535156 468.089844 L 1553.363281 468.496094 L 1550.679688 469.472656 L 1548.480469 471.179688 L 1546.855469 473.539062 L 1545.714844 476.628906 L 1545.066406 480.292969 L 1544.984375 480.617188 L 1544.984375 481.105469 L 1544.902344 481.347656 L 1544.902344 481.835938 Z M 1537.742188 481.835938 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 1503 613 L 1549 613 M 1503 566 L 1549 566 M 1503 566 L 1503 613 M 1549 566 L 1549 613 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 1503 613 L 1549 613 M 1503 566 L 1549 566 M 1549 613 L 1549 566 M 1503 613 L 1503 566 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 365.191406 925.5 L 360.425781 925.5 L 347.929688 890.738281 L 352.699219 890.738281 L 362.902344 920.160156 L 372.535156 890.738281 L 377.257812 890.738281 Z M 365.191406 925.5 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 409.0625 890.738281 L 409.0625 925.5 L 404.058594 925.5 L 386.078125 897.316406 L 386.078125 925.5 L 381.882812 925.5 L 381.882812 890.738281 L 386.699219 890.738281 L 404.867188 919.15625 L 404.867188 890.738281 Z M 409.0625 890.738281 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 442.347656 915.582031 L 442.253906 917.105469 L 442.015625 918.488281 L 441.585938 919.777344 L 441.011719 920.921875 L 440.25 922.019531 L 439.34375 922.972656 L 438.34375 923.785156 L 437.292969 924.402344 L 436.101562 924.878906 L 434.863281 925.261719 L 433.527344 925.453125 L 432.097656 925.5 L 416.40625 925.5 L 416.40625 890.738281 L 430.523438 890.738281 L 432.574219 890.878906 L 434.386719 891.214844 L 436.007812 891.785156 L 437.390625 892.597656 L 438.582031 893.644531 L 439.535156 894.933594 L 439.917969 895.648438 L 440.25 896.410156 L 440.488281 897.125 L 440.679688 897.9375 L 440.773438 898.75 L 440.824219 899.558594 L 440.679688 901.277344 L 440.25 902.753906 L 439.582031 904.136719 L 438.628906 905.28125 L 437.4375 906.332031 L 436.007812 907.140625 L 437.390625 907.761719 L 438.582031 908.429688 L 439.628906 909.191406 L 440.488281 910.050781 L 441.15625 910.957031 L 441.679688 911.910156 L 441.871094 912.480469 L 442.015625 913.054688 L 442.15625 913.625 L 442.253906 914.292969 L 442.300781 914.914062 Z M 436.386719 900.179688 L 436.246094 898.75 L 435.816406 897.554688 L 435.148438 896.507812 L 434.195312 895.742188 L 433.003906 895.171875 L 431.523438 894.789062 L 431.191406 894.789062 L 430.855469 894.742188 L 430.523438 894.695312 L 429.757812 894.695312 L 429.425781 894.648438 L 420.84375 894.648438 L 420.84375 905.710938 L 429.425781 905.710938 L 431.191406 905.613281 L 432.714844 905.328125 L 434.003906 904.804688 L 434.957031 904.089844 L 435.71875 903.136719 L 436.195312 901.992188 L 436.246094 901.753906 L 436.292969 901.464844 L 436.339844 901.179688 L 436.339844 900.511719 Z M 431.667969 921.589844 L 433.003906 921.542969 L 434.195312 921.257812 L 435.242188 920.777344 L 436.101562 920.160156 L 436.816406 919.347656 L 437.390625 918.347656 L 437.53125 917.964844 L 437.628906 917.535156 L 437.769531 917.058594 L 437.820312 916.628906 L 437.867188 916.152344 L 437.914062 915.628906 L 437.820312 914.578125 L 437.628906 913.578125 L 437.292969 912.71875 L 436.816406 911.910156 L 436.195312 911.242188 L 435.480469 910.621094 L 434.957031 910.335938 L 434.386719 910.097656 L 433.765625 909.90625 L 433.097656 909.765625 L 432.382812 909.667969 L 431.667969 909.621094 L 420.84375 909.621094 L 420.84375 921.589844 Z M 431.667969 921.589844 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 370 194 L 417 194 M 370 148 L 417 148 M 370 148 L 370 194 M 417 148 L 417 194 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 370 194 L 417 194 M 370 148 L 417 148 M 417 194 L 417 148 M 370 194 L 370 148 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 367.191406 181.5 L 362.425781 181.5 L 349.929688 146.738281 L 354.699219 146.738281 L 364.902344 176.160156 L 374.535156 146.738281 L 379.257812 146.738281 Z M 367.191406 181.5 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 409.679688 156.941406 L 409.585938 158.46875 L 409.347656 159.851562 L 408.917969 161.136719 L 408.394531 162.28125 L 407.628906 163.332031 L 406.773438 164.285156 L 405.820312 165.097656 L 404.816406 165.714844 L 403.71875 166.191406 L 402.527344 166.527344 L 401.289062 166.71875 L 399.953125 166.765625 L 389.03125 166.765625 L 389.03125 181.5 L 384.597656 181.5 L 384.597656 146.738281 L 398.953125 146.738281 L 401.003906 146.878906 L 402.863281 147.214844 L 404.484375 147.785156 L 405.914062 148.597656 L 407.105469 149.597656 L 408.105469 150.839844 L 408.585938 151.742188 L 408.964844 152.648438 L 409.25 153.605469 L 409.488281 154.652344 L 409.632812 155.796875 Z M 389.03125 162.855469 L 398.285156 162.855469 L 399.570312 162.808594 L 400.714844 162.570312 L 401.765625 162.234375 L 402.671875 161.710938 L 403.433594 161.042969 L 404.101562 160.230469 L 404.339844 159.753906 L 404.578125 159.183594 L 404.769531 158.660156 L 404.914062 158.039062 L 405.007812 157.417969 L 405.054688 156.75 L 404.960938 155.605469 L 404.722656 154.558594 L 404.339844 153.652344 L 403.816406 152.839844 L 403.101562 152.171875 L 402.289062 151.601562 L 401.71875 151.363281 L 401.097656 151.125 L 400.429688 150.933594 L 399.761719 150.789062 L 399.046875 150.695312 L 398.285156 150.648438 L 389.03125 150.648438 Z M 389.03125 162.855469 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 441.726562 171.582031 L 441.628906 173.105469 L 441.390625 174.488281 L 440.960938 175.777344 L 440.390625 176.921875 L 439.628906 178.019531 L 438.722656 178.972656 L 437.71875 179.785156 L 436.671875 180.402344 L 435.480469 180.878906 L 434.238281 181.261719 L 432.902344 181.453125 L 431.472656 181.5 L 415.785156 181.5 L 415.785156 146.738281 L 429.898438 146.738281 L 431.949219 146.878906 L 433.761719 147.214844 L 435.382812 147.785156 L 436.765625 148.597656 L 437.957031 149.644531 L 438.914062 150.933594 L 439.292969 151.648438 L 439.628906 152.410156 L 439.867188 153.125 L 440.058594 153.9375 L 440.152344 154.75 L 440.199219 155.558594 L 440.058594 157.277344 L 439.628906 158.753906 L 438.960938 160.136719 L 438.007812 161.28125 L 436.8125 162.332031 L 435.382812 163.140625 L 436.765625 163.761719 L 437.957031 164.429688 L 439.007812 165.191406 L 439.867188 166.050781 L 440.535156 166.957031 L 441.058594 167.910156 L 441.25 168.480469 L 441.390625 169.054688 L 441.535156 169.625 L 441.628906 170.292969 L 441.679688 170.914062 Z M 435.765625 156.179688 L 435.621094 154.75 L 435.191406 153.554688 L 434.523438 152.507812 L 433.570312 151.742188 L 432.378906 151.171875 L 430.902344 150.789062 L 430.566406 150.789062 L 430.234375 150.742188 L 429.898438 150.695312 L 429.136719 150.695312 L 428.804688 150.648438 L 420.21875 150.648438 L 420.21875 161.710938 L 428.804688 161.710938 L 430.566406 161.613281 L 432.09375 161.328125 L 433.378906 160.804688 L 434.335938 160.089844 L 435.097656 159.136719 L 435.574219 157.992188 L 435.621094 157.753906 L 435.667969 157.464844 L 435.71875 157.179688 L 435.71875 156.511719 Z M 431.042969 177.589844 L 432.378906 177.542969 L 433.570312 177.257812 L 434.621094 176.777344 L 435.480469 176.160156 L 436.195312 175.347656 L 436.765625 174.347656 L 436.910156 173.964844 L 437.003906 173.535156 L 437.148438 173.058594 L 437.195312 172.628906 L 437.242188 172.152344 L 437.292969 171.628906 L 437.195312 170.578125 L 437.003906 169.578125 L 436.671875 168.71875 L 436.195312 167.910156 L 435.574219 167.242188 L 434.859375 166.621094 L 434.335938 166.335938 L 433.761719 166.097656 L 433.140625 165.90625 L 432.476562 165.765625 L 431.757812 165.667969 L 431.042969 165.621094 L 420.21875 165.621094 L 420.21875 177.589844 Z M 431.042969 177.589844 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 370 938 L 417 938 M 370 892 L 417 892 M 370 892 L 370 938 M 417 892 L 417 938 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 370 938 L 417 938 M 370 892 L 417 892 M 417 938 L 417 892 M 370 938 L 370 892 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 347.191406 925.402344 L 342.425781 925.402344 L 329.929688 890.640625 L 334.699219 890.640625 L 344.902344 920.0625 L 354.535156 890.640625 L 359.257812 890.640625 Z M 347.191406 925.402344 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 366.792969 908.140625 L 366.839844 909.761719 L 366.984375 911.289062 L 367.269531 912.71875 L 367.648438 914.148438 L 368.175781 915.484375 L 368.84375 916.722656 L 369.988281 918.488281 L 371.371094 919.917969 L 372.941406 921.0625 L 374.707031 921.875 L 376.710938 922.398438 L 378.902344 922.589844 L 379.238281 922.589844 L 380.714844 922.542969 L 382.148438 922.304688 L 383.433594 921.875 L 384.675781 921.351562 L 385.820312 920.632812 L 386.914062 919.730469 L 387.820312 918.773438 L 388.585938 917.726562 L 389.203125 916.535156 L 389.632812 915.292969 L 389.917969 913.957031 L 390.109375 912.527344 L 390.109375 912.050781 L 390.15625 911.90625 L 390.15625 910.953125 L 379.570312 910.953125 L 379.570312 907.042969 L 394.066406 907.042969 L 394.066406 925.59375 L 391.253906 925.59375 L 390.15625 920.96875 L 388.632812 922.496094 L 387.011719 923.78125 L 385.339844 924.832031 L 383.578125 925.546875 L 381.765625 926.070312 L 379.90625 926.40625 L 379.332031 926.40625 L 379.09375 926.453125 L 378.523438 926.453125 L 378.285156 926.5 L 376.28125 926.355469 L 374.375 926.023438 L 372.5625 925.5 L 370.894531 924.734375 L 369.320312 923.734375 L 367.839844 922.496094 L 366.503906 921.160156 L 365.359375 919.632812 L 364.40625 918.011719 L 363.644531 916.199219 L 363.023438 914.246094 L 362.644531 912.148438 L 362.546875 911.574219 L 362.453125 910.953125 L 362.40625 910.335938 L 362.355469 909.667969 L 362.355469 908.378906 L 362.40625 906.613281 L 362.59375 904.898438 L 362.882812 903.230469 L 363.359375 901.609375 L 363.882812 900.035156 L 364.597656 898.460938 L 365.789062 896.457031 L 367.171875 894.695312 L 368.84375 893.214844 L 370.652344 892.023438 L 372.703125 891.117188 L 374.992188 890.449219 L 375.613281 890.355469 L 376.28125 890.257812 L 376.949219 890.210938 L 377.617188 890.117188 L 378.332031 890.117188 L 379.046875 890.066406 L 381.335938 890.210938 L 383.480469 890.542969 L 385.4375 891.117188 L 387.203125 891.929688 L 388.773438 892.976562 L 390.207031 894.214844 L 391.015625 895.171875 L 391.730469 896.21875 L 392.351562 897.363281 L 392.875 898.554688 L 393.257812 899.84375 L 393.589844 901.179688 L 389.0625 901.179688 L 388.679688 899.9375 L 388.203125 898.792969 L 387.535156 897.746094 L 386.773438 896.839844 L 385.820312 896.027344 L 384.769531 895.3125 L 383.910156 894.933594 L 383.003906 894.597656 L 382.050781 894.3125 L 381.097656 894.167969 L 380.046875 894.027344 L 379 893.976562 L 377.234375 894.121094 L 375.613281 894.40625 L 374.136719 894.882812 L 372.753906 895.597656 L 371.464844 896.503906 L 370.320312 897.601562 L 369.269531 898.9375 L 368.414062 900.414062 L 367.746094 902.039062 L 367.222656 903.800781 L 366.886719 905.707031 L 366.792969 907.757812 Z M 366.792969 908.140625 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 428.117188 890.640625 L 428.117188 925.402344 L 423.109375 925.402344 L 405.132812 897.222656 L 405.132812 925.402344 L 400.933594 925.402344 L 400.933594 890.640625 L 405.75 890.640625 L 423.917969 919.0625 L 423.917969 890.640625 Z M 428.117188 890.640625 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 435.9375 925.402344 L 435.9375 890.640625 L 449.335938 890.640625 L 451.433594 890.785156 L 453.390625 891.164062 L 455.203125 891.832031 L 456.871094 892.691406 L 458.347656 893.882812 L 459.730469 895.265625 L 460.875 896.933594 L 461.828125 898.746094 L 462.542969 900.796875 L 463.070312 902.992188 L 463.355469 905.421875 L 463.5 907.996094 L 463.355469 910.714844 L 463.023438 913.242188 L 462.449219 915.53125 L 461.6875 917.628906 L 460.683594 919.488281 L 459.445312 921.113281 L 458.109375 922.445312 L 456.632812 923.496094 L 455.011719 924.355469 L 453.246094 924.925781 L 451.339844 925.308594 L 449.335938 925.402344 Z M 440.371094 921.492188 L 448.574219 921.492188 L 450.765625 921.351562 L 452.722656 920.824219 L 454.4375 919.917969 L 455.867188 918.726562 L 457.011719 917.105469 L 457.96875 915.152344 L 458.253906 914.148438 L 458.539062 913.101562 L 458.777344 911.957031 L 458.921875 910.714844 L 459.015625 909.429688 L 459.0625 908.046875 L 458.875 904.945312 L 458.394531 902.226562 L 457.539062 899.890625 L 456.394531 898.03125 L 454.914062 896.503906 L 453.101562 895.410156 L 452.433594 895.171875 L 451.71875 894.933594 L 450.195312 894.644531 L 449.382812 894.597656 L 448.574219 894.550781 L 440.371094 894.550781 Z M 440.371094 921.492188 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 370 194 L 417 194 M 370 148 L 417 148 M 370 148 L 370 194 M 417 148 L 417 194 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 370 194 L 417 194 M 370 148 L 417 148 M 417 194 L 417 148 M 370 194 L 370 148 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 343.191406 181.5 L 338.425781 181.5 L 325.929688 146.738281 L 330.699219 146.738281 L 340.902344 176.160156 L 350.535156 146.738281 L 355.257812 146.738281 Z M 343.191406 181.5 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 385.679688 156.941406 L 385.585938 158.46875 L 385.347656 159.851562 L 384.917969 161.136719 L 384.394531 162.28125 L 383.628906 163.332031 L 382.773438 164.285156 L 381.820312 165.097656 L 380.816406 165.714844 L 379.71875 166.191406 L 378.527344 166.527344 L 377.289062 166.71875 L 375.953125 166.765625 L 365.03125 166.765625 L 365.03125 181.5 L 360.597656 181.5 L 360.597656 146.738281 L 374.953125 146.738281 L 377.003906 146.878906 L 378.863281 147.214844 L 380.484375 147.785156 L 381.914062 148.597656 L 383.105469 149.597656 L 384.105469 150.839844 L 384.585938 151.742188 L 384.964844 152.648438 L 385.25 153.605469 L 385.488281 154.652344 L 385.632812 155.796875 Z M 365.03125 162.855469 L 374.285156 162.855469 L 375.570312 162.808594 L 376.714844 162.570312 L 377.765625 162.234375 L 378.671875 161.710938 L 379.433594 161.042969 L 380.101562 160.230469 L 380.339844 159.753906 L 380.578125 159.183594 L 380.769531 158.660156 L 380.914062 158.039062 L 381.007812 157.417969 L 381.054688 156.75 L 380.960938 155.605469 L 380.722656 154.558594 L 380.339844 153.652344 L 379.816406 152.839844 L 379.101562 152.171875 L 378.289062 151.601562 L 377.71875 151.363281 L 377.097656 151.125 L 376.429688 150.933594 L 375.761719 150.789062 L 375.046875 150.695312 L 374.285156 150.648438 L 365.03125 150.648438 Z M 365.03125 162.855469 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 423.496094 181.5 L 418.632812 181.5 L 410.621094 152.9375 L 402.847656 181.5 L 397.984375 181.5 L 389.066406 146.738281 L 394.027344 146.738281 L 400.558594 174.96875 L 408.285156 146.738281 L 413.050781 146.738281 L 420.96875 174.96875 L 427.359375 146.738281 L 432.316406 146.738281 Z M 423.496094 181.5 "/>
+<path style=" stroke:none;fill-rule:nonzero;comp-op:src;clip-to-self:true;fill:rgb(0%,0%,60.392159%);fill-opacity:0.724409;" d="M 458.542969 164.332031 L 459.640625 164.953125 L 460.644531 165.621094 L 461.5 166.382812 L 462.167969 167.289062 L 462.644531 168.242188 L 463.027344 169.292969 L 463.121094 169.863281 L 463.21875 170.484375 L 463.265625 171.199219 L 463.3125 172.011719 L 463.3125 173.917969 L 463.265625 174.917969 L 463.3125 175.777344 L 463.359375 176.539062 L 463.457031 177.207031 L 463.550781 177.78125 L 463.742188 178.257812 L 463.886719 178.734375 L 464.125 179.117188 L 464.363281 179.496094 L 464.648438 179.832031 L 464.984375 180.164062 L 465.363281 180.402344 L 465.363281 181.5 L 459.976562 181.5 L 459.6875 180.738281 L 459.449219 179.925781 L 459.261719 178.972656 L 459.117188 178.019531 L 459.023438 176.96875 L 459.023438 172.726562 L 458.925781 171.34375 L 458.6875 170.101562 L 458.304688 169.054688 L 457.734375 168.195312 L 457.019531 167.527344 L 456.160156 167.003906 L 455.730469 166.859375 L 455.300781 166.765625 L 454.824219 166.667969 L 454.347656 166.621094 L 453.300781 166.527344 L 441.855469 166.527344 L 441.855469 181.5 L 437.421875 181.5 L 437.421875 146.738281 L 453.441406 146.738281 L 455.589844 146.878906 L 457.542969 147.214844 L 459.210938 147.832031 L 460.644531 148.644531 L 461.835938 149.695312 L 462.789062 150.980469 L 463.121094 151.742188 L 463.457031 152.507812 L 463.695312 153.316406 L 463.886719 154.175781 L 463.980469 155.082031 L 464.027344 156.035156 L 463.933594 157.324219 L 463.742188 158.515625 L 463.410156 159.613281 L 462.933594 160.613281 L 462.359375 161.519531 L 461.644531 162.28125 L 461.214844 162.664062 L 460.738281 163.046875 L 460.261719 163.378906 L 459.738281 163.714844 L 459.164062 164.046875 Z M 459.402344 156.65625 L 459.261719 155.226562 L 458.972656 153.984375 L 458.402344 152.9375 L 457.6875 152.078125 L 456.683594 151.457031 L 455.539062 150.980469 L 455.113281 150.886719 L 454.632812 150.789062 L 454.15625 150.742188 L 453.632812 150.695312 L 453.109375 150.695312 L 452.585938 150.648438 L 441.855469 150.648438 L 441.855469 162.617188 L 452.585938 162.617188 L 454.15625 162.570312 L 455.539062 162.28125 L 456.683594 161.851562 L 457.640625 161.234375 L 458.402344 160.46875 L 458.925781 159.515625 L 459.070312 159.136719 L 459.164062 158.660156 L 459.261719 158.230469 L 459.308594 157.703125 L 459.355469 157.226562 Z M 459.402344 156.65625 "/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(0%,0%,60.392159%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 370 938 L 417 938 M 370 892 L 417 892 M 370 892 L 370 938 M 417 892 L 417 938 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(19.607843%,89.411765%,88.235295%);stroke-opacity:1;stroke-miterlimit:10;" d="M 370 938 L 417 938 M 370 892 L 417 892 M 417 938 L 417 892 M 370 938 L 370 892 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(100%,100%,0%);stroke-opacity:0.724409;stroke-miterlimit:10;" d="M 331 167 L 331 174 M 327 171 L 334 171 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+<path style="fill:none;stroke-width:2;stroke-linecap:butt;stroke-linejoin:miter;stroke:rgb(100%,100%,100%);stroke-opacity:1;stroke-miterlimit:10;" d="M 331 166 L 331 175 M 326 171 L 335 171 M 330 172 L 332 172 M 330 170 L 332 170 M 330 170 L 330 172 M 332 170 L 332 172 " transform="matrix(1,0,0,-1,0.5,1079.5)"/>
+</g>
+</svg>
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_2.v b/cells/a2111o/sky130_fd_sc_hd__a2111o_2.v
new file mode 100644
index 0000000..32f8c35
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_2.v
@@ -0,0 +1,131 @@
+/**
+ * Copyright 2020 The SkyWater PDK Authors
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *     https://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+`ifndef SKY130_FD_SC_HD__A2111O_2_V
+`define SKY130_FD_SC_HD__A2111O_2_V
+
+/**
+ * a2111o: 2-input AND into first input of 4-input OR.
+ *
+ *         X = ((A1 & A2) | B1 | C1 | D1)
+ *
+ * Verilog wrapper for a2111o with size of 2 units.
+ *
+ * WARNING: This file is autogenerated, do not modify directly!
+ */
+
+`timescale 1ns / 1ps
+`default_nettype none
+
+`include "sky130_fd_sc_hd__a2111o.v"
+
+`ifdef USE_POWER_PINS
+/*********************************************************/
+
+`celldefine
+module sky130_fd_sc_hd__a2111o_2 (
+    X   ,
+    A1  ,
+    A2  ,
+    B1  ,
+    C1  ,
+    D1  ,
+    VPWR,
+    VGND,
+    VPB ,
+    VNB
+);
+
+    output X   ;
+    input  A1  ;
+    input  A2  ;
+    input  B1  ;
+    input  C1  ;
+    input  D1  ;
+    input  VPWR;
+    input  VGND;
+    input  VPB ;
+    input  VNB ;
+    sky130_fd_sc_hd__a2111o cell (
+        .X(X),
+        .A1(A1),
+        .A2(A2),
+        .B1(B1),
+        .C1(C1),
+        .D1(D1),
+        .VPWR(VPWR),
+        .VGND(VGND),
+        .VPB(VPB),
+        .VNB(VNB)
+    );
+
+endmodule
+`endcelldefine
+
+/*********************************************************/
+`else // If not USE_POWER_PINS
+/*********************************************************/
+
+`celldefine
+module sky130_fd_sc_hd__a2111o_2 (
+    X   ,
+    A1  ,
+    A2  ,
+    B1  ,
+    C1  ,
+    D1  ,
+    VPWR,
+    VGND,
+    VPB ,
+    VNB
+);
+
+    output X   ;
+    input  A1  ;
+    input  A2  ;
+    input  B1  ;
+    input  C1  ;
+    input  D1  ;
+    input  VPWR;
+    input  VGND;
+    input  VPB ;
+    input  VNB ;
+
+    // Voltage supply signals
+    supply1 VPWR;
+    supply0 VGND;
+    supply1 VPB ;
+    supply0 VNB ;
+
+    sky130_fd_sc_hd__a2111o cell (
+        .X(X),
+        .A1(A1),
+        .A2(A2),
+        .B1(B1),
+        .C1(C1),
+        .D1(D1)
+    );
+
+endmodule
+`endcelldefine
+
+/*********************************************************/
+`endif // USE_POWER_PINS
+
+`default_nettype wire
+`endif  // SKY130_FD_SC_HD__A2111O_2_V
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_2__ff_100C_1v65.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_2__ff_100C_1v65.lib.json
new file mode 100644
index 0000000..1235b65
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_2__ff_100C_1v65.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 12.512,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 3.244654,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 3.8322594,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 11.9885213,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 1.8348923,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 2.6937295,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 1.8249824,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 2.5315985,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 1.7851296,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 1.8192665,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 3.8321701,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 12.9519995,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 1.8348921,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 2.6937101,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 1.8249823,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 2.5315854,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 1.7851296,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 1.8192663,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 3.8321408,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 12.5688056,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 1.834892,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 2.6937037,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 1.8249822,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 2.5315807,
+      "when": "A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 1.7851296,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 1.8192663,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 2.0154729,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 4.188216,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 1.8121673,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 1.9802175,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 1.8066921,
+      "when": "A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 1.9689277,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 1.7796005,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 1.8030127,
+      "when": "A1&A2&B1&C1&!D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.002479,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002403,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0037909,
+          0.0037878,
+          0.003785,
+          0.0037858,
+          0.0037918,
+          0.00381,
+          0.0038564
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.002976,
+          -0.0029823,
+          -0.0029922,
+          -0.0029861,
+          -0.0029674,
+          -0.0029197,
+          -0.0028052
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002555
+  },
+  "pin A2": {
+    "capacitance": 0.002411,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002314,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0034292,
+          0.0034122,
+          0.0033773,
+          0.0033778,
+          0.0033832,
+          0.0033999,
+          0.0034428
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0033814,
+          -0.0033829,
+          -0.0033818,
+          -0.0033835,
+          -0.0033829,
+          -0.0033768,
+          -0.0033585
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002509
+  },
+  "pin B1": {
+    "capacitance": 0.002371,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002249,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0033703,
+          0.0033693,
+          0.0033695,
+          0.0033666,
+          0.0033625,
+          0.0033559,
+          0.0033435
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0026258,
+          -0.0026731,
+          -0.0027776,
+          -0.0027935,
+          -0.002826,
+          -0.0028967,
+          -0.0030556
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002493
+  },
+  "pin C1": {
+    "capacitance": 0.002478,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002323,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0032254,
+          0.0032224,
+          0.003218,
+          0.0032152,
+          0.0032114,
+          0.0032055,
+          0.0031944
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0025851,
+          -0.0026925,
+          -0.0029359,
+          -0.0029465,
+          -0.0029668,
+          -0.0030095,
+          -0.0031039
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002633
+  },
+  "pin D1": {
+    "capacitance": 0.002445,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002246,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0020403,
+          0.0020358,
+          0.0020279,
+          0.0020329,
+          0.0020471,
+          0.0020826,
+          0.0021674
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0013579,
+          -0.0013639,
+          -0.0013739,
+          -0.0013753,
+          -0.0013748,
+          -0.0013702,
+          -0.0013561
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002644
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001509201,
+            0.004555376,
+            0.01374996,
+            0.0415029,
+            0.1252725,
+            0.3781227
+          ],
+          "values": [
+            [
+              0.0202937,
+              0.0184148,
+              0.0134459,
+              0.0012463,
+              -0.0346176,
+              -0.1475771,
+              -0.4918042
+            ],
+            [
+              0.0201418,
+              0.01831,
+              0.0132944,
+              0.0011462,
+              -0.0347525,
+              -0.14774,
+              -0.491932
+            ],
+            [
+              0.0199563,
+              0.0181573,
+              0.013134,
+              0.0008821,
+              -0.0350213,
+              -0.1479573,
+              -0.4921346
+            ],
+            [
+              0.019734,
+              0.0178863,
+              0.0128684,
+              0.000749,
+              -0.0352436,
+              -0.1481989,
+              -0.4923695
+            ],
+            [
+              0.0195685,
+              0.0176547,
+              0.0126876,
+              0.0004754,
+              -0.0355066,
+              -0.1484244,
+              -0.492579
+            ],
+            [
+              0.0203589,
+              0.0184365,
+              0.0133321,
+              0.0008591,
+              -0.0352801,
+              -0.1483062,
+              -0.49254
+            ],
+            [
+              0.0293388,
+              0.0273422,
+              0.0218461,
+              0.0073005,
+              -0.0318321,
+              -0.1460922,
+              -0.4905229
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001509201,
+            0.004555376,
+            0.01374996,
+            0.0415029,
+            0.1252725,
+            0.3781227
+          ],
+          "values": [
+            [
+              0.017759,
+              0.0191715,
+              0.0235973,
+              0.0367923,
+              0.0750498,
+              0.1881221,
+              0.5277361
+            ],
+            [
+              0.0176315,
+              0.0190608,
+              0.0235211,
+              0.0367096,
+              0.0749438,
+              0.1878883,
+              0.527768
+            ],
+            [
+              0.0175011,
+              0.0189223,
+              0.0233758,
+              0.0365707,
+              0.0747522,
+              0.1877535,
+              0.5276075
+            ],
+            [
+              0.0176558,
+              0.0190417,
+              0.0234332,
+              0.0365363,
+              0.0745764,
+              0.1877136,
+              0.5276772
+            ],
+            [
+              0.0186112,
+              0.0199154,
+              0.0241429,
+              0.0367967,
+              0.0745589,
+              0.1874969,
+              0.5296877
+            ],
+            [
+              0.0221164,
+              0.0232054,
+              0.0269187,
+              0.0391746,
+              0.0760455,
+              0.1882141,
+              0.5284338
+            ],
+            [
+              0.0295422,
+              0.0303859,
+              0.033757,
+              0.0451869,
+              0.0812825,
+              0.1926115,
+              0.531624
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001509201,
+            0.004555376,
+            0.01374996,
+            0.0415029,
+            0.1252725,
+            0.3781227
+          ],
+          "values": [
+            [
+              0.0239173,
+              0.0219955,
+              0.0168778,
+              0.0043394,
+              -0.0317747,
+              -0.1446921,
+              -0.4888777
+            ],
+            [
+              0.0238322,
+              0.0219271,
+              0.0168148,
+              0.0042518,
+              -0.0318484,
+              -0.1447666,
+              -0.4889473
+            ],
+            [
+              0.0236581,
+              0.0217928,
+              0.0166627,
+              0.0041238,
+              -0.0319034,
+              -0.1448298,
+              -0.4890087
+            ],
+            [
+              0.0236149,
+              0.0217366,
+              0.0166117,
+              0.004018,
+              -0.03205,
+              -0.1449897,
+              -0.4891412
+            ],
+            [
+              0.0234224,
+              0.0215379,
+              0.0163892,
+              0.0038658,
+              -0.0322556,
+              -0.145156,
+              -0.4892727
+            ],
+            [
+              0.0241436,
+              0.0222614,
+              0.0170433,
+              0.0043815,
+              -0.0319663,
+              -0.14499,
+              -0.4892032
+            ],
+            [
+              0.0336281,
+              0.0316168,
+              0.0260531,
+              0.0116815,
+              -0.0279897,
+              -0.1424169,
+              -0.4867549
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001509201,
+            0.004555376,
+            0.01374996,
+            0.0415029,
+            0.1252725,
+            0.3781227
+          ],
+          "values": [
+            [
+              0.0180172,
+              0.0194554,
+              0.0238975,
+              0.0370657,
+              0.075239,
+              0.188485,
+              0.530051
+            ],
+            [
+              0.0179075,
+              0.0193087,
+              0.0237712,
+              0.0369709,
+              0.0751812,
+              0.1881037,
+              0.5303356
+            ],
+            [
+              0.0177519,
+              0.0191725,
+              0.0236343,
+              0.0367993,
+              0.0749848,
+              0.1880164,
+              0.5277541
+            ],
+            [
+              0.0178932,
+              0.0192831,
+              0.0236945,
+              0.0366984,
+              0.0749121,
+              0.1878123,
+              0.5300999
+            ],
+            [
+              0.0185348,
+              0.0198415,
+              0.0241015,
+              0.0369526,
+              0.074827,
+              0.1877332,
+              0.5299965
+            ],
+            [
+              0.0217507,
+              0.0228968,
+              0.0267075,
+              0.0388226,
+              0.075884,
+              0.1885831,
+              0.5307037
+            ],
+            [
+              0.0286187,
+              0.0296259,
+              0.0330943,
+              0.0446909,
+              0.0815375,
+              0.1933207,
+              0.5318269
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001509201,
+            0.004555376,
+            0.01374996,
+            0.0415029,
+            0.1252725,
+            0.3781227
+          ],
+          "values": [
+            [
+              0.0176826,
+              0.015834,
+              0.0110423,
+              -0.0007942,
+              -0.0366024,
+              -0.1497179,
+              -0.493918
+            ],
+            [
+              0.0175542,
+              0.0156966,
+              0.0109363,
+              -0.0009229,
+              -0.0367243,
+              -0.1498968,
+              -0.4940544
+            ],
+            [
+              0.0173794,
+              0.0155978,
+              0.0107409,
+              -0.0010825,
+              -0.0369112,
+              -0.1500233,
+              -0.4942175
+            ],
+            [
+              0.0172733,
+              0.0154447,
+              0.0106265,
+              -0.0012991,
+              -0.0370942,
+              -0.1502279,
+              -0.4943751
+            ],
+            [
+              0.0171855,
+              0.0153576,
+              0.0105257,
+              -0.0013575,
+              -0.0372378,
+              -0.150384,
+              -0.4944783
+            ],
+            [
+              0.0186056,
+              0.0166895,
+              0.011512,
+              -0.000645,
+              -0.0366675,
+              -0.149893,
+              -0.4941277
+            ],
+            [
+              0.0291241,
+              0.0272626,
+              0.0215665,
+              0.0070886,
+              -0.032088,
+              -0.1461732,
+              -0.4906605
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001509201,
+            0.004555376,
+            0.01374996,
+            0.0415029,
+            0.1252725,
+            0.3781227
+          ],
+          "values": [
+            [
+              0.015764,
+              0.0172086,
+              0.0216265,
+              0.0344988,
+              0.0724054,
+              0.1858781,
+              0.5265915
+            ],
+            [
+              0.0157522,
+              0.0171996,
+              0.0216126,
+              0.0345019,
+              0.0723708,
+              0.1851329,
+              0.5270048
+            ],
+            [
+              0.015856,
+              0.0173192,
+              0.0217026,
+              0.034523,
+              0.0723757,
+              0.1858516,
+              0.526599
+            ],
+            [
+              0.0161334,
+              0.0175445,
+              0.0217506,
+              0.0344082,
+              0.0721958,
+              0.1850831,
+              0.5249144
+            ],
+            [
+              0.0172065,
+              0.0184848,
+              0.022429,
+              0.0347978,
+              0.0722239,
+              0.1851498,
+              0.5250725
+            ],
+            [
+              0.0199543,
+              0.0211195,
+              0.0249292,
+              0.0372308,
+              0.0738092,
+              0.1862334,
+              0.5262155
+            ],
+            [
+              0.0271517,
+              0.0280758,
+              0.0319252,
+              0.0436837,
+              0.0800344,
+              0.1913131,
+              0.5301782
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001509201,
+            0.004555376,
+            0.01374996,
+            0.0415029,
+            0.1252725,
+            0.3781227
+          ],
+          "values": [
+            [
+              0.0159492,
+              0.0141607,
+              0.0093227,
+              -0.0025395,
+              -0.0382772,
+              -0.1514242,
+              -0.4955973
+            ],
+            [
+              0.0157971,
+              0.0140167,
+              0.0091743,
+              -0.0025475,
+              -0.0383967,
+              -0.151575,
+              -0.4957546
+            ],
+            [
+              0.0156718,
+              0.0138732,
+              0.0089975,
+              -0.0028688,
+              -0.0385753,
+              -0.1517409,
+              -0.4959302
+            ],
+            [
+              0.0154909,
+              0.0136767,
+              0.0088895,
+              -0.0029523,
+              -0.0388286,
+              -0.1519195,
+              -0.4960903
+            ],
+            [
+              0.0157431,
+              0.0138969,
+              0.0090422,
+              -0.0029398,
+              -0.0388689,
+              -0.1520056,
+              -0.4961204
+            ],
+            [
+              0.0179136,
+              0.0158847,
+              0.0107774,
+              -0.0014277,
+              -0.0375862,
+              -0.1508813,
+              -0.4951206
+            ],
+            [
+              0.0290681,
+              0.0270737,
+              0.0214856,
+              0.0068364,
+              -0.032029,
+              -0.1465744,
+              -0.4911416
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001509201,
+            0.004555376,
+            0.01374996,
+            0.0415029,
+            0.1252725,
+            0.3781227
+          ],
+          "values": [
+            [
+              0.0144914,
+              0.0159256,
+              0.0202694,
+              0.0330386,
+              0.0708026,
+              0.1835305,
+              0.525095
+            ],
+            [
+              0.0146026,
+              0.0160327,
+              0.0203455,
+              0.0331443,
+              0.0709109,
+              0.1835684,
+              0.525263
+            ],
+            [
+              0.0148628,
+              0.0163105,
+              0.0206326,
+              0.0333462,
+              0.071058,
+              0.1836362,
+              0.5232151
+            ],
+            [
+              0.0150933,
+              0.0164951,
+              0.0206613,
+              0.0331344,
+              0.0709352,
+              0.1835783,
+              0.5235016
+            ],
+            [
+              0.0162029,
+              0.0174853,
+              0.0214791,
+              0.0335996,
+              0.07092,
+              0.1846275,
+              0.5253899
+            ],
+            [
+              0.0187703,
+              0.0199323,
+              0.0238327,
+              0.0358473,
+              0.0724763,
+              0.1852306,
+              0.5244462
+            ],
+            [
+              0.0258697,
+              0.026777,
+              0.0302534,
+              0.0418565,
+              0.0781093,
+              0.189942,
+              0.5286806
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001509201,
+            0.004555376,
+            0.01374996,
+            0.0415029,
+            0.1252725,
+            0.3781227
+          ],
+          "values": [
+            [
+              0.0153007,
+              0.0134608,
+              0.0086183,
+              -0.0032192,
+              -0.0390094,
+              -0.1521742,
+              -0.4962907
+            ],
+            [
+              0.0150887,
+              0.0132511,
+              0.0084488,
+              -0.0033814,
+              -0.0392344,
+              -0.1523331,
+              -0.4964949
+            ],
+            [
+              0.0148875,
+              0.0130629,
+              0.0082313,
+              -0.0035803,
+              -0.0394228,
+              -0.1525203,
+              -0.4966853
+            ],
+            [
+              0.0148923,
+              0.0131052,
+              0.0082648,
+              -0.0035776,
+              -0.0394037,
+              -0.1524681,
+              -0.4966374
+            ],
+            [
+              0.0156734,
+              0.0138146,
+              0.0090342,
+              -0.002877,
+              -0.0388161,
+              -0.1519354,
+              -0.496009
+            ],
+            [
+              0.0198087,
+              0.0178544,
+              0.0125052,
+              -0.000466,
+              -0.0364779,
+              -0.1497943,
+              -0.4941644
+            ],
+            [
+              0.0320244,
+              0.0299592,
+              0.0242679,
+              0.0092619,
+              -0.0301687,
+              -0.1444552,
+              -0.4889975
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001509201,
+            0.004555376,
+            0.01374996,
+            0.0415029,
+            0.1252725,
+            0.3781227
+          ],
+          "values": [
+            [
+              0.0118675,
+              0.0132984,
+              0.0175912,
+              0.0302748,
+              0.0677524,
+              0.1804413,
+              0.5210317
+            ],
+            [
+              0.0118764,
+              0.0133011,
+              0.0175948,
+              0.030288,
+              0.0677715,
+              0.1804118,
+              0.5209603
+            ],
+            [
+              0.0119703,
+              0.0133854,
+              0.0176373,
+              0.0302515,
+              0.0677614,
+              0.1805259,
+              0.5207567
+            ],
+            [
+              0.0122374,
+              0.0136296,
+              0.0177326,
+              0.030182,
+              0.0676824,
+              0.1805638,
+              0.5208458
+            ],
+            [
+              0.0132936,
+              0.0145842,
+              0.0186161,
+              0.0306104,
+              0.067954,
+              0.1806223,
+              0.5214484
+            ],
+            [
+              0.0161939,
+              0.0173497,
+              0.0211458,
+              0.0332983,
+              0.069671,
+              0.1820433,
+              0.5235902
+            ],
+            [
+              0.0238317,
+              0.0246969,
+              0.0282687,
+              0.0401052,
+              0.0760951,
+              0.188236,
+              0.525992
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.378123,
+    "max_transition": 1.500481,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015092,
+            0.00455538,
+            0.01375,
+            0.0415029,
+            0.125272,
+            0.378123
+          ],
+          "values": [
+            [
+              0.288702,
+              0.2950695,
+              0.3104664,
+              0.3448921,
+              0.4168617,
+              0.57145,
+              0.9551653
+            ],
+            [
+              0.2916978,
+              0.2981028,
+              0.3135993,
+              0.3473064,
+              0.4194243,
+              0.5743049,
+              0.9581502
+            ],
+            [
+              0.3001182,
+              0.3064385,
+              0.3220338,
+              0.3561413,
+              0.4279068,
+              0.5825355,
+              0.9664217
+            ],
+            [
+              0.3199552,
+              0.3263668,
+              0.3419452,
+              0.3759566,
+              0.4482102,
+              0.6027368,
+              0.9864024
+            ],
+            [
+              0.3602308,
+              0.3665666,
+              0.3821453,
+              0.4164711,
+              0.4884056,
+              0.6428283,
+              1.0266785
+            ],
+            [
+              0.4292626,
+              0.4356801,
+              0.4519145,
+              0.4872512,
+              0.5607039,
+              0.716714,
+              1.1009488
+            ],
+            [
+              0.5495441,
+              0.556695,
+              0.5740391,
+              0.6123471,
+              0.691562,
+              0.8568951,
+              1.2473702
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015092,
+            0.00455538,
+            0.01375,
+            0.0415029,
+            0.125272,
+            0.378123
+          ],
+          "values": [
+            [
+              0.0799956,
+              0.085528,
+              0.0988852,
+              0.1299004,
+              0.2083055,
+              0.4318475,
+              1.1010468
+            ],
+            [
+              0.0833222,
+              0.08886,
+              0.1022613,
+              0.133253,
+              0.2116347,
+              0.4353057,
+              1.1020677
+            ],
+            [
+              0.0920431,
+              0.0975657,
+              0.1108229,
+              0.1416981,
+              0.2199815,
+              0.4436001,
+              1.1103819
+            ],
+            [
+              0.1132803,
+              0.1186214,
+              0.1316343,
+              0.1617542,
+              0.2394432,
+              0.4636024,
+              1.131252
+            ],
+            [
+              0.1450527,
+              0.1510577,
+              0.1650606,
+              0.1958103,
+              0.2732669,
+              0.4962247,
+              1.1623399
+            ],
+            [
+              0.1722898,
+              0.1790467,
+              0.1951419,
+              0.2291736,
+              0.3067284,
+              0.5291245,
+              1.1957509
+            ],
+            [
+              0.1676176,
+              0.1754034,
+              0.194653,
+              0.2356239,
+              0.3178328,
+              0.54023,
+              1.2055104
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015092,
+            0.00455538,
+            0.01375,
+            0.0415029,
+            0.125272,
+            0.378123
+          ],
+          "values": [
+            [
+              0.0408548,
+              0.0450548,
+              0.0563393,
+              0.083157,
+              0.1442303,
+              0.2980977,
+              0.7688135
+            ],
+            [
+              0.0409473,
+              0.0453127,
+              0.056233,
+              0.0823431,
+              0.1440481,
+              0.2994868,
+              0.7702878
+            ],
+            [
+              0.0407557,
+              0.0450347,
+              0.05643,
+              0.0829349,
+              0.1440995,
+              0.2997697,
+              0.7694378
+            ],
+            [
+              0.0406363,
+              0.0452642,
+              0.0563937,
+              0.0830844,
+              0.1444459,
+              0.2980595,
+              0.7694093
+            ],
+            [
+              0.0408387,
+              0.0452773,
+              0.0569921,
+              0.0825274,
+              0.144633,
+              0.2993928,
+              0.7699162
+            ],
+            [
+              0.0450653,
+              0.0493002,
+              0.0612734,
+              0.0880488,
+              0.1483774,
+              0.3018769,
+              0.7698746
+            ],
+            [
+              0.0544218,
+              0.058917,
+              0.0712392,
+              0.0991253,
+              0.1633203,
+              0.3180957,
+              0.7788962
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015092,
+            0.00455538,
+            0.01375,
+            0.0415029,
+            0.125272,
+            0.378123
+          ],
+          "values": [
+            [
+              0.02086,
+              0.0256793,
+              0.0381089,
+              0.0723009,
+              0.1776248,
+              0.5041776,
+              1.4970387
+            ],
+            [
+              0.0207623,
+              0.0256831,
+              0.0380392,
+              0.0722639,
+              0.1778306,
+              0.504472,
+              1.4950059
+            ],
+            [
+              0.0205946,
+              0.0253742,
+              0.0378355,
+              0.0720406,
+              0.1776644,
+              0.5043726,
+              1.4942487
+            ],
+            [
+              0.0204513,
+              0.0252221,
+              0.0375409,
+              0.0716813,
+              0.1771031,
+              0.5042021,
+              1.4952215
+            ],
+            [
+              0.0244669,
+              0.0294043,
+              0.0414023,
+              0.0737726,
+              0.1778012,
+              0.5044715,
+              1.4952236
+            ],
+            [
+              0.0315147,
+              0.0370216,
+              0.0499241,
+              0.0814011,
+              0.1801369,
+              0.5041217,
+              1.494316
+            ],
+            [
+              0.0431654,
+              0.0488976,
+              0.0647331,
+              0.0972188,
+              0.1897266,
+              0.5078729,
+              1.4935915
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015092,
+            0.00455538,
+            0.01375,
+            0.0415029,
+            0.125272,
+            0.378123
+          ],
+          "values": [
+            [
+              0.3211668,
+              0.328083,
+              0.344646,
+              0.3808333,
+              0.4558078,
+              0.6124138,
+              0.9986366
+            ],
+            [
+              0.3248976,
+              0.3318095,
+              0.3483794,
+              0.3845848,
+              0.4595755,
+              0.6161954,
+              1.0024063
+            ],
+            [
+              0.3342074,
+              0.3411295,
+              0.3575447,
+              0.3939135,
+              0.4681051,
+              0.6257066,
+              1.0114324
+            ],
+            [
+              0.3529313,
+              0.3596178,
+              0.3764964,
+              0.4123828,
+              0.4873116,
+              0.6443099,
+              1.0304434
+            ],
+            [
+              0.3870735,
+              0.3939528,
+              0.4104545,
+              0.4467529,
+              0.5214509,
+              0.6787876,
+              1.0648096
+            ],
+            [
+              0.443085,
+              0.4501735,
+              0.4671533,
+              0.5043891,
+              0.579865,
+              0.738486,
+              1.1250221
+            ],
+            [
+              0.544667,
+              0.5521432,
+              0.5707379,
+              0.61068,
+              0.6915853,
+              0.8582489,
+              1.2498572
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015092,
+            0.00455538,
+            0.01375,
+            0.0415029,
+            0.125272,
+            0.378123
+          ],
+          "values": [
+            [
+              0.0843795,
+              0.0898941,
+              0.103211,
+              0.1341751,
+              0.2126864,
+              0.4370191,
+              1.1033504
+            ],
+            [
+              0.0879517,
+              0.093481,
+              0.1068392,
+              0.1378029,
+              0.2162506,
+              0.4401462,
+              1.1061488
+            ],
+            [
+              0.0961326,
+              0.1016551,
+              0.1149122,
+              0.1458171,
+              0.2240331,
+              0.4480537,
+              1.1168294
+            ],
+            [
+              0.1148861,
+              0.1202407,
+              0.1333425,
+              0.1637835,
+              0.2416995,
+              0.4652748,
+              1.1318453
+            ],
+            [
+              0.1452499,
+              0.1511469,
+              0.1650581,
+              0.1961756,
+              0.2737849,
+              0.4970659,
+              1.1634218
+            ],
+            [
+              0.176521,
+              0.183179,
+              0.1992183,
+              0.2329819,
+              0.31099,
+              0.5338636,
+              1.2003343
+            ],
+            [
+              0.1839017,
+              0.1912559,
+              0.2100549,
+              0.2510929,
+              0.3341801,
+              0.5582836,
+              1.2237411
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015092,
+            0.00455538,
+            0.01375,
+            0.0415029,
+            0.125272,
+            0.378123
+          ],
+          "values": [
+            [
+              0.0456816,
+              0.0506753,
+              0.0625959,
+              0.0891378,
+              0.1500059,
+              0.3053594,
+              0.7744621
+            ],
+            [
+              0.0458522,
+              0.0505823,
+              0.0625801,
+              0.089194,
+              0.1500885,
+              0.3053011,
+              0.7744834
+            ],
+            [
+              0.0457639,
+              0.0503936,
+              0.0620127,
+              0.0883956,
+              0.1506537,
+              0.3036117,
+              0.7741849
+            ],
+            [
+              0.0458227,
+              0.0504736,
+              0.0620812,
+              0.0886939,
+              0.1500888,
+              0.305139,
+              0.7743505
+            ],
+            [
+              0.045809,
+              0.0506525,
+              0.0622582,
+              0.0883136,
+              0.1499681,
+              0.3049857,
+              0.7742345
+            ],
+            [
+              0.0493263,
+              0.0540923,
+              0.0659144,
+              0.0927043,
+              0.1529401,
+              0.3064801,
+              0.7719129
+            ],
+            [
+              0.0579288,
+              0.0628839,
+              0.0749006,
+              0.1031043,
+              0.168121,
+              0.3200303,
+              0.7822422
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015092,
+            0.00455538,
+            0.01375,
+            0.0415029,
+            0.125272,
+            0.378123
+          ],
+          "values": [
+            [
+              0.0207419,
+              0.0255561,
+              0.0380434,
+              0.0722469,
+              0.1777085,
+              0.5038179,
+              1.4980294
+            ],
+            [
+              0.0206291,
+              0.0256578,
+              0.0380122,
+              0.0722169,
+              0.1779311,
+              0.5050352,
+              1.4936503
+            ],
+            [
+              0.0206451,
+              0.0254646,
+              0.0377947,
+              0.0719826,
+              0.1773061,
+              0.5046014,
+              1.4967501
+            ],
+            [
+              0.0205241,
+              0.0253027,
+              0.0376472,
+              0.0719752,
+              0.1774943,
+              0.5045716,
+              1.4919732
+            ],
+            [
+              0.0232073,
+              0.0280136,
+              0.0406151,
+              0.0735669,
+              0.1777648,
+              0.5045557,
+              1.4943592
+            ],
+            [
+              0.0292489,
+              0.034564,
+              0.0477845,
+              0.0799576,
+              0.179925,
+              0.505143,
+              1.4966991
+            ],
+            [
+              0.039821,
+              0.0457381,
+              0.0613422,
+              0.0950264,
+              0.1885118,
+              0.5081255,
+              1.4917847
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015092,
+            0.00455538,
+            0.01375,
+            0.0415029,
+            0.125272,
+            0.378123
+          ],
+          "values": [
+            [
+              0.2336081,
+              0.2396842,
+              0.2546765,
+              0.2870745,
+              0.3555519,
+              0.5043194,
+              0.8830625
+            ],
+            [
+              0.2364949,
+              0.242566,
+              0.2574914,
+              0.2899337,
+              0.3583514,
+              0.50701,
+              0.8861912
+            ],
+            [
+              0.2450763,
+              0.2511665,
+              0.2658796,
+              0.2983811,
+              0.3667591,
+              0.5154174,
+              0.8949577
+            ],
+            [
+              0.2644839,
+              0.2705437,
+              0.2852853,
+              0.3178434,
+              0.3864206,
+              0.5348834,
+              0.9141033
+            ],
+            [
+              0.3055986,
+              0.3115881,
+              0.3263931,
+              0.3588985,
+              0.4276559,
+              0.5762323,
+              0.9551465
+            ],
+            [
+              0.3819232,
+              0.3883532,
+              0.4040571,
+              0.4385232,
+              0.510118,
+              0.6611195,
+              1.0408103
+            ],
+            [
+              0.5438192,
+              0.5508282,
+              0.5679969,
+              0.6054197,
+              0.6832393,
+              0.8428573,
+              1.2279745
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015092,
+            0.00455538,
+            0.01375,
+            0.0415029,
+            0.125272,
+            0.378123
+          ],
+          "values": [
+            [
+              0.0576263,
+              0.0620405,
+              0.0729487,
+              0.1000018,
+              0.1746355,
+              0.3957628,
+              1.0610849
+            ],
+            [
+              0.0614786,
+              0.0658883,
+              0.076799,
+              0.1038014,
+              0.1786541,
+              0.3999833,
+              1.0654381
+            ],
+            [
+              0.0710243,
+              0.0754167,
+              0.0862284,
+              0.1130421,
+              0.1877501,
+              0.4089092,
+              1.0740746
+            ],
+            [
+              0.0905156,
+              0.0950914,
+              0.1059209,
+              0.1324816,
+              0.2069596,
+              0.4289515,
+              1.0934584
+            ],
+            [
+              0.1115409,
+              0.1170236,
+              0.1294954,
+              0.1573817,
+              0.2316042,
+              0.4537344,
+              1.1185453
+            ],
+            [
+              0.1213433,
+              0.1278164,
+              0.1428855,
+              0.174775,
+              0.2497304,
+              0.4707399,
+              1.1373994
+            ],
+            [
+              0.0873246,
+              0.0947844,
+              0.1135119,
+              0.1530796,
+              0.2329842,
+              0.4529401,
+              1.1203301
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015092,
+            0.00455538,
+            0.01375,
+            0.0415029,
+            0.125272,
+            0.378123
+          ],
+          "values": [
+            [
+              0.0368238,
+              0.0407986,
+              0.0518008,
+              0.0772911,
+              0.1364219,
+              0.2890712,
+              0.7660563
+            ],
+            [
+              0.0367714,
+              0.0410539,
+              0.0519713,
+              0.0776488,
+              0.1364233,
+              0.2895229,
+              0.7679593
+            ],
+            [
+              0.0366742,
+              0.0409851,
+              0.0517356,
+              0.0774662,
+              0.1364648,
+              0.2889072,
+              0.7638217
+            ],
+            [
+              0.0366371,
+              0.0411888,
+              0.0522757,
+              0.0766145,
+              0.1367055,
+              0.2892155,
+              0.7697314
+            ],
+            [
+              0.0368797,
+              0.0412198,
+              0.0518471,
+              0.0772298,
+              0.1363669,
+              0.2886981,
+              0.7654678
+            ],
+            [
+              0.0434095,
+              0.0475241,
+              0.0589032,
+              0.0839249,
+              0.142579,
+              0.2933788,
+              0.7670946
+            ],
+            [
+              0.0535055,
+              0.0582518,
+              0.0702599,
+              0.0968335,
+              0.1572394,
+              0.309608,
+              0.772321
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015092,
+            0.00455538,
+            0.01375,
+            0.0415029,
+            0.125272,
+            0.378123
+          ],
+          "values": [
+            [
+              0.0160428,
+              0.0199524,
+              0.0310819,
+              0.0652182,
+              0.1724556,
+              0.5007267,
+              1.495884
+            ],
+            [
+              0.0159917,
+              0.0199411,
+              0.0310424,
+              0.0652346,
+              0.1726911,
+              0.5011909,
+              1.4921608
+            ],
+            [
+              0.0157673,
+              0.0197141,
+              0.0308736,
+              0.0651667,
+              0.1724898,
+              0.5006583,
+              1.4958599
+            ],
+            [
+              0.0174534,
+              0.021373,
+              0.0321629,
+              0.0653862,
+              0.1728279,
+              0.5000391,
+              1.4961647
+            ],
+            [
+              0.0219692,
+              0.0262749,
+              0.0371044,
+              0.0682008,
+              0.1730779,
+              0.5017924,
+              1.4939187
+            ],
+            [
+              0.0286639,
+              0.0338052,
+              0.0463963,
+              0.0755403,
+              0.1751958,
+              0.5014067,
+              1.4924452
+            ],
+            [
+              0.0398527,
+              0.0454719,
+              0.0612702,
+              0.0933928,
+              0.1836614,
+              0.5038381,
+              1.4915409
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015092,
+            0.00455538,
+            0.01375,
+            0.0415029,
+            0.125272,
+            0.378123
+          ],
+          "values": [
+            [
+              0.2106804,
+              0.2167913,
+              0.2316247,
+              0.2637602,
+              0.3326571,
+              0.4813697,
+              0.8601077
+            ],
+            [
+              0.2129266,
+              0.2190268,
+              0.2337914,
+              0.2662299,
+              0.3349543,
+              0.4836447,
+              0.8624034
+            ],
+            [
+              0.2203427,
+              0.2263501,
+              0.2412059,
+              0.2733138,
+              0.3423262,
+              0.4907225,
+              0.8697507
+            ],
+            [
+              0.2388544,
+              0.2449255,
+              0.259699,
+              0.2921096,
+              0.3606867,
+              0.5094287,
+              0.8881776
+            ],
+            [
+              0.2851557,
+              0.2911581,
+              0.3060701,
+              0.3383889,
+              0.4068043,
+              0.5558385,
+              0.934803
+            ],
+            [
+              0.3831175,
+              0.3894599,
+              0.4052164,
+              0.4394526,
+              0.5113148,
+              0.6624515,
+              1.0422497
+            ],
+            [
+              0.5751882,
+              0.5820356,
+              0.5996273,
+              0.6373216,
+              0.7143144,
+              0.8729255,
+              1.2575965
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015092,
+            0.00455538,
+            0.01375,
+            0.0415029,
+            0.125272,
+            0.378123
+          ],
+          "values": [
+            [
+              0.0548625,
+              0.0590574,
+              0.0695035,
+              0.0957726,
+              0.1699035,
+              0.3907318,
+              1.0561195
+            ],
+            [
+              0.0588018,
+              0.0629717,
+              0.0733764,
+              0.0996893,
+              0.1738522,
+              0.3956111,
+              1.0602391
+            ],
+            [
+              0.0680847,
+              0.0722554,
+              0.0826636,
+              0.1088785,
+              0.1829699,
+              0.404116,
+              1.069614
+            ],
+            [
+              0.0859405,
+              0.0904095,
+              0.1010453,
+              0.1272336,
+              0.2012735,
+              0.4227053,
+              1.0877642
+            ],
+            [
+              0.1034349,
+              0.1088735,
+              0.1212171,
+              0.1486521,
+              0.2227782,
+              0.4437002,
+              1.107701
+            ],
+            [
+              0.1071591,
+              0.113662,
+              0.1291326,
+              0.160368,
+              0.2348005,
+              0.4558334,
+              1.122149
+            ],
+            [
+              0.0624668,
+              0.0699114,
+              0.0887884,
+              0.1285011,
+              0.2077026,
+              0.4282026,
+              1.0963697
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015092,
+            0.00455538,
+            0.01375,
+            0.0415029,
+            0.125272,
+            0.378123
+          ],
+          "values": [
+            [
+              0.0368486,
+              0.0410539,
+              0.0516582,
+              0.0764846,
+              0.136728,
+              0.2889155,
+              0.7660401
+            ],
+            [
+              0.0368667,
+              0.0410498,
+              0.0516152,
+              0.0767609,
+              0.1367109,
+              0.2889274,
+              0.7660418
+            ],
+            [
+              0.036776,
+              0.0410984,
+              0.0517046,
+              0.0764687,
+              0.1366326,
+              0.2898505,
+              0.766043
+            ],
+            [
+              0.0368061,
+              0.0411918,
+              0.0520021,
+              0.0772802,
+              0.1361137,
+              0.2889993,
+              0.7661081
+            ],
+            [
+              0.0370501,
+              0.0413529,
+              0.0517133,
+              0.0775305,
+              0.1366918,
+              0.2889141,
+              0.7671912
+            ],
+            [
+              0.0440641,
+              0.0486607,
+              0.059983,
+              0.0843851,
+              0.1436101,
+              0.2942243,
+              0.7677048
+            ],
+            [
+              0.0571283,
+              0.0620356,
+              0.0731555,
+              0.0995964,
+              0.1589975,
+              0.3083228,
+              0.7729218
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015092,
+            0.00455538,
+            0.01375,
+            0.0415029,
+            0.125272,
+            0.378123
+          ],
+          "values": [
+            [
+              0.0150036,
+              0.0187721,
+              0.0297716,
+              0.0639377,
+              0.1713612,
+              0.5011048,
+              1.4936964
+            ],
+            [
+              0.0149752,
+              0.0187909,
+              0.0297832,
+              0.0639152,
+              0.171735,
+              0.5008146,
+              1.492685
+            ],
+            [
+              0.0149004,
+              0.0187254,
+              0.0297797,
+              0.063752,
+              0.1718845,
+              0.499757,
+              1.4942343
+            ],
+            [
+              0.0170074,
+              0.0208852,
+              0.0312986,
+              0.0645172,
+              0.1719833,
+              0.5006986,
+              1.4931194
+            ],
+            [
+              0.0216019,
+              0.0258792,
+              0.0365692,
+              0.0676789,
+              0.1721558,
+              0.5000012,
+              1.4954469
+            ],
+            [
+              0.02851,
+              0.0336152,
+              0.0459495,
+              0.0755842,
+              0.1743462,
+              0.5010812,
+              1.4949286
+            ],
+            [
+              0.0401045,
+              0.0456495,
+              0.0610726,
+              0.0934204,
+              0.1839826,
+              0.5042814,
+              1.4932776
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015092,
+            0.00455538,
+            0.01375,
+            0.0415029,
+            0.125272,
+            0.378123
+          ],
+          "values": [
+            [
+              0.1743334,
+              0.1804548,
+              0.1949768,
+              0.2278629,
+              0.2962403,
+              0.4450281,
+              0.8241944
+            ],
+            [
+              0.1754467,
+              0.1816703,
+              0.196418,
+              0.2290217,
+              0.2976238,
+              0.4464866,
+              0.8252359
+            ],
+            [
+              0.1818579,
+              0.1879411,
+              0.2026556,
+              0.2352281,
+              0.3038806,
+              0.4527123,
+              0.83135
+            ],
+            [
+              0.2027176,
+              0.208797,
+              0.2235568,
+              0.2561436,
+              0.3245691,
+              0.4733352,
+              0.8523169
+            ],
+            [
+              0.2590004,
+              0.26507,
+              0.2796471,
+              0.3120192,
+              0.3797644,
+              0.5283782,
+              0.9076411
+            ],
+            [
+              0.3720786,
+              0.3785716,
+              0.3940745,
+              0.4273299,
+              0.4965583,
+              0.6476288,
+              1.0265424
+            ],
+            [
+              0.5653256,
+              0.5726036,
+              0.5901583,
+              0.6288671,
+              0.7032803,
+              0.8571548,
+              1.2421406
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015092,
+            0.00455538,
+            0.01375,
+            0.0415029,
+            0.125272,
+            0.378123
+          ],
+          "values": [
+            [
+              0.0483799,
+              0.0524211,
+              0.0625624,
+              0.0883783,
+              0.1619123,
+              0.382194,
+              1.049988
+            ],
+            [
+              0.0523925,
+              0.056439,
+              0.0665367,
+              0.0923902,
+              0.1659955,
+              0.3864573,
+              1.053332
+            ],
+            [
+              0.0618069,
+              0.0658049,
+              0.0758203,
+              0.1014923,
+              0.1751573,
+              0.3964451,
+              1.0704785
+            ],
+            [
+              0.0769641,
+              0.0815152,
+              0.0921341,
+              0.1181148,
+              0.191974,
+              0.41222,
+              1.0785539
+            ],
+            [
+              0.0897278,
+              0.095291,
+              0.1079021,
+              0.1353071,
+              0.208934,
+              0.4295023,
+              1.098022
+            ],
+            [
+              0.0866737,
+              0.093267,
+              0.109108,
+              0.1412964,
+              0.2153946,
+              0.4363556,
+              1.1002244
+            ],
+            [
+              0.0308392,
+              0.0386596,
+              0.0579202,
+              0.0996358,
+              0.1804272,
+              0.4015253,
+              1.07015
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015092,
+            0.00455538,
+            0.01375,
+            0.0415029,
+            0.125272,
+            0.378123
+          ],
+          "values": [
+            [
+              0.0366056,
+              0.0411144,
+              0.0517333,
+              0.077512,
+              0.1365163,
+              0.2897408,
+              0.7657863
+            ],
+            [
+              0.0367597,
+              0.0410586,
+              0.0518972,
+              0.0772765,
+              0.1358756,
+              0.288971,
+              0.7661637
+            ],
+            [
+              0.0366185,
+              0.0414394,
+              0.0519562,
+              0.0772385,
+              0.1358208,
+              0.2890061,
+              0.7659663
+            ],
+            [
+              0.036714,
+              0.0411023,
+              0.0515108,
+              0.0772974,
+              0.1357603,
+              0.2882073,
+              0.7696224
+            ],
+            [
+              0.0357863,
+              0.040116,
+              0.05116,
+              0.0768183,
+              0.1362519,
+              0.2893912,
+              0.7671926
+            ],
+            [
+              0.0457006,
+              0.0499406,
+              0.0603598,
+              0.0838972,
+              0.1413481,
+              0.2931242,
+              0.767072
+            ],
+            [
+              0.0610398,
+              0.0650692,
+              0.0770498,
+              0.1025822,
+              0.155617,
+              0.3046534,
+              0.7707716
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.0015092,
+            0.00455538,
+            0.01375,
+            0.0415029,
+            0.125272,
+            0.378123
+          ],
+          "values": [
+            [
+              0.0141962,
+              0.017935,
+              0.0289187,
+              0.0631801,
+              0.1714383,
+              0.5014194,
+              1.4999474
+            ],
+            [
+              0.0141858,
+              0.0179785,
+              0.0289425,
+              0.0631734,
+              0.1710061,
+              0.5005025,
+              1.499906
+            ],
+            [
+              0.014211,
+              0.017998,
+              0.028994,
+              0.0632684,
+              0.1714575,
+              0.5013004,
+              1.4986977
+            ],
+            [
+              0.0172088,
+              0.0209354,
+              0.0313126,
+              0.0641444,
+              0.1715296,
+              0.5012499,
+              1.4990351
+            ],
+            [
+              0.0218995,
+              0.0262749,
+              0.0368448,
+              0.0675577,
+              0.1722339,
+              0.501454,
+              1.5004811
+            ],
+            [
+              0.0292538,
+              0.0345829,
+              0.0471598,
+              0.076573,
+              0.1750452,
+              0.5000929,
+              1.4955585
+            ],
+            [
+              0.0422578,
+              0.04837,
+              0.06401,
+              0.0984494,
+              0.1867631,
+              0.5054018,
+              1.4901018
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      }
+    ]
+  }
+}
\ No newline at end of file
diff --git a/cells/a2111o/sky130_fd_sc_hd__a2111o_2__ff_100C_1v95.lib.json b/cells/a2111o/sky130_fd_sc_hd__a2111o_2__ff_100C_1v95.lib.json
new file mode 100644
index 0000000..8fe600c
--- /dev/null
+++ b/cells/a2111o/sky130_fd_sc_hd__a2111o_2__ff_100C_1v95.lib.json
@@ -0,0 +1,3016 @@
+{
+  "area": 12.512,
+  "cell_footprint": "a2111o",
+  "cell_leakage_power": 5.34361,
+  "driver_waveform_fall": "ramp",
+  "driver_waveform_rise": "ramp",
+  "leakage_power": [
+    {
+      "value": 6.5720283,
+      "when": "!A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 20.6045794,
+      "when": "!A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 2.8502813,
+      "when": "!A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 4.4296034,
+      "when": "!A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 2.837971,
+      "when": "!A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 4.1410511,
+      "when": "!A1&!A2&B1&!C1&!D1"
+    },
+    {
+      "value": 2.7877456,
+      "when": "!A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 2.7877454,
+      "when": "A1&!A2&B1&C1&D1"
+    },
+    {
+      "value": 2.8274347,
+      "when": "A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 3.0786762,
+      "when": "A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 7.0123072,
+      "when": "A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 2.8213909,
+      "when": "A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 3.0401084,
+      "when": "A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 2.8147096,
+      "when": "A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 3.0293394,
+      "when": "A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 2.7809581,
+      "when": "A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 2.8067326,
+      "when": "A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 2.827435,
+      "when": "!A1&!A2&B1&C1&!D1"
+    },
+    {
+      "value": 6.5718262,
+      "when": "!A1&A2&!B1&!C1&D1"
+    },
+    {
+      "value": 22.1318324,
+      "when": "!A1&A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 2.8502811,
+      "when": "!A1&A2&!B1&C1&D1"
+    },
+    {
+      "value": 4.4295629,
+      "when": "!A1&A2&!B1&C1&!D1"
+    },
+    {
+      "value": 2.8379707,
+      "when": "!A1&A2&B1&!C1&D1"
+    },
+    {
+      "value": 4.1410231,
+      "when": "!A1&A2&B1&!C1&!D1"
+    },
+    {
+      "value": 2.7877454,
+      "when": "!A1&A2&B1&C1&D1"
+    },
+    {
+      "value": 2.8274347,
+      "when": "!A1&A2&B1&C1&!D1"
+    },
+    {
+      "value": 6.5717307,
+      "when": "A1&!A2&!B1&!C1&D1"
+    },
+    {
+      "value": 21.5372076,
+      "when": "A1&!A2&!B1&!C1&!D1"
+    },
+    {
+      "value": 2.8502807,
+      "when": "A1&!A2&!B1&C1&D1"
+    },
+    {
+      "value": 4.4295443,
+      "when": "A1&!A2&!B1&C1&!D1"
+    },
+    {
+      "value": 2.8379707,
+      "when": "A1&!A2&B1&!C1&D1"
+    },
+    {
+      "value": 4.1410098,
+      "when": "A1&!A2&B1&!C1&!D1"
+    }
+  ],
+  "pg_pin VGND": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VGND"
+  },
+  "pg_pin VNB": {
+    "pg_type": "primary_ground",
+    "voltage_name": "VNB"
+  },
+  "pg_pin VPB": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPB"
+  },
+  "pg_pin VPWR": {
+    "pg_type": "primary_power",
+    "voltage_name": "VPWR"
+  },
+  "pin A1": {
+    "capacitance": 0.002572,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002494,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0054976,
+          0.0054876,
+          0.0054714,
+          0.0054669,
+          0.0054633,
+          0.0054619,
+          0.0054657
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.004234,
+          -0.0042423,
+          -0.0042539,
+          -0.0042469,
+          -0.0042235,
+          -0.004162,
+          -0.0040128
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002649
+  },
+  "pin A2": {
+    "capacitance": 0.002496,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002399,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0049745,
+          0.0049449,
+          0.0048837,
+          0.00488,
+          0.0048787,
+          0.0048824,
+          0.004898
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0048907,
+          -0.0048931,
+          -0.004891,
+          -0.0048925,
+          -0.0048885,
+          -0.004872,
+          -0.0048265
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002593
+  },
+  "pin B1": {
+    "capacitance": 0.002453,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.00233,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0048692,
+          0.0048652,
+          0.0048603,
+          0.0048596,
+          0.0048623,
+          0.0048728,
+          0.0049014
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.003783,
+          -0.0038707,
+          -0.0040659,
+          -0.0040901,
+          -0.0041391,
+          -0.0042452,
+          -0.0044833
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002576
+  },
+  "pin C1": {
+    "capacitance": 0.002555,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002394,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.0046651,
+          0.0046633,
+          0.0046635,
+          0.0046604,
+          0.0046577,
+          0.0046556,
+          0.0046553
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0036681,
+          -0.0038505,
+          -0.0042642,
+          -0.004281,
+          -0.0043131,
+          -0.0043805,
+          -0.004529
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002715
+  },
+  "pin D1": {
+    "capacitance": 0.002522,
+    "clock": "false",
+    "direction": "input",
+    "fall_capacitance": 0.002313,
+    "internal_power": {
+      "fall_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          0.002858,
+          0.0028505,
+          0.0028375,
+          0.0028419,
+          0.0028567,
+          0.0028952,
+          0.002988
+        ]
+      },
+      "rise_power power_inputs_1": {
+        "index_1": [
+          0.01,
+          0.0230506,
+          0.0531329,
+          0.122474,
+          0.282311,
+          0.650743,
+          1.5
+        ],
+        "values": [
+          -0.0018554,
+          -0.0018631,
+          -0.0018749,
+          -0.0018773,
+          -0.001877,
+          -0.0018703,
+          -0.0018492
+        ]
+      }
+    },
+    "max_transition": 1.5,
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "rise_capacitance": 0.002731
+  },
+  "pin X": {
+    "direction": "output",
+    "function": "(A1&A2) | (B1) | (C1) | (D1)",
+    "internal_power": [
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001557538,
+            0.004851847,
+            0.01511387,
+            0.04708083,
+            0.1466603,
+            0.456858
+          ],
+          "values": [
+            [
+              0.0311465,
+              0.0282743,
+              0.0202034,
+              -0.0001598,
+              -0.0593646,
+              -0.2485697,
+              -0.838752
+            ],
+            [
+              0.0309749,
+              0.028046,
+              0.0199879,
+              -0.00043,
+              -0.0596058,
+              -0.248805,
+              -0.8389698
+            ],
+            [
+              0.0307466,
+              0.0277931,
+              0.0196971,
+              -0.000628,
+              -0.0598472,
+              -0.249045,
+              -0.8392988
+            ],
+            [
+              0.0304605,
+              0.0276042,
+              0.0194191,
+              -0.0009443,
+              -0.0601572,
+              -0.2493057,
+              -0.8394663
+            ],
+            [
+              0.0319576,
+              0.0291209,
+              0.0208095,
+              3.45e-05,
+              -0.0595326,
+              -0.2488323,
+              -0.8391337
+            ],
+            [
+              0.0424382,
+              0.0393347,
+              0.0306448,
+              0.0071087,
+              -0.0541667,
+              -0.2439429,
+              -0.8344712
+            ],
+            [
+              0.066381,
+              0.0632419,
+              0.0541464,
+              0.0295194,
+              -0.0363616,
+              -0.2284255,
+              -0.8196072
+            ]
+          ]
+        },
+        "related_pin": "B1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001557538,
+            0.004851847,
+            0.01511387,
+            0.04708083,
+            0.1466603,
+            0.456858
+          ],
+          "values": [
+            [
+              0.0231645,
+              0.0251669,
+              0.0315874,
+              0.0513446,
+              0.1119128,
+              0.2989587,
+              0.8804281
+            ],
+            [
+              0.0231437,
+              0.0251435,
+              0.0315318,
+              0.051309,
+              0.1118846,
+              0.2988803,
+              0.8801174
+            ],
+            [
+              0.0235007,
+              0.0255179,
+              0.031903,
+              0.0515214,
+              0.1119907,
+              0.2989354,
+              0.8802
+            ],
+            [
+              0.0248506,
+              0.0267341,
+              0.0327455,
+              0.0520507,
+              0.1122499,
+              0.2992749,
+              0.8809027
+            ],
+            [
+              0.0292375,
+              0.0308337,
+              0.0365005,
+              0.0547239,
+              0.1142831,
+              0.3008781,
+              0.8857992
+            ],
+            [
+              0.0396101,
+              0.0408442,
+              0.0458491,
+              0.0639831,
+              0.1214148,
+              0.3075375,
+              0.8876488
+            ],
+            [
+              0.0640646,
+              0.0647785,
+              0.0686592,
+              0.0855046,
+              0.1422941,
+              0.3242794,
+              0.9044625
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001557538,
+            0.004851847,
+            0.01511387,
+            0.04708083,
+            0.1466603,
+            0.456858
+          ],
+          "values": [
+            [
+              0.0286048,
+              0.0257161,
+              0.0176057,
+              -0.00271,
+              -0.0619414,
+              -0.2511409,
+              -0.8413169
+            ],
+            [
+              0.0282538,
+              0.0255328,
+              0.0174512,
+              -0.0029022,
+              -0.0621942,
+              -0.2513621,
+              -0.8415947
+            ],
+            [
+              0.0281045,
+              0.0252239,
+              0.0171028,
+              -0.0033041,
+              -0.0624584,
+              -0.251629,
+              -0.8419074
+            ],
+            [
+              0.0279324,
+              0.0250068,
+              0.0168757,
+              -0.0035715,
+              -0.0627366,
+              -0.2518991,
+              -0.8420041
+            ],
+            [
+              0.0306149,
+              0.0276809,
+              0.019296,
+              -0.0014981,
+              -0.0611201,
+              -0.2504857,
+              -0.8407515
+            ],
+            [
+              0.0410702,
+              0.0379679,
+              0.0291642,
+              0.0055861,
+              -0.0550727,
+              -0.2449912,
+              -0.8356183
+            ],
+            [
+              0.0643191,
+              0.0611204,
+              0.0522165,
+              0.02711,
+              -0.0381653,
+              -0.2304282,
+              -0.8219622
+            ]
+          ]
+        },
+        "related_pin": "C1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001557538,
+            0.004851847,
+            0.01511387,
+            0.04708083,
+            0.1466603,
+            0.456858
+          ],
+          "values": [
+            [
+              0.0210543,
+              0.0230436,
+              0.029352,
+              0.0490636,
+              0.1095033,
+              0.2966792,
+              0.8786789
+            ],
+            [
+              0.0212282,
+              0.0232329,
+              0.0295227,
+              0.0492243,
+              0.1097755,
+              0.2966266,
+              0.8784791
+            ],
+            [
+              0.0219255,
+              0.0239047,
+              0.0302001,
+              0.0497114,
+              0.1101611,
+              0.2983356,
+              0.8787404
+            ],
+            [
+              0.0232533,
+              0.0251155,
+              0.0311871,
+              0.0501769,
+              0.1104728,
+              0.2973681,
+              0.8796852
+            ],
+            [
+              0.0273939,
+              0.0290298,
+              0.0346757,
+              0.0528146,
+              0.1124462,
+              0.2989798,
+              0.8840752
+            ],
+            [
+              0.0370264,
+              0.0382482,
+              0.0433592,
+              0.0610787,
+              0.1189136,
+              0.3053605,
+              0.8851019
+            ],
+            [
+              0.0602086,
+              0.0609199,
+              0.0647748,
+              0.0813306,
+              0.1385086,
+              0.3211756,
+              0.9013301
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001557538,
+            0.004851847,
+            0.01511387,
+            0.04708083,
+            0.1466603,
+            0.456858
+          ],
+          "values": [
+            [
+              0.0274586,
+              0.0245154,
+              0.0164629,
+              -0.0039131,
+              -0.0629868,
+              -0.2522695,
+              -0.8424732
+            ],
+            [
+              0.0272039,
+              0.0242803,
+              0.0161506,
+              -0.0042146,
+              -0.0633593,
+              -0.2525213,
+              -0.8426812
+            ],
+            [
+              0.0271128,
+              0.0242314,
+              0.0160613,
+              -0.0042902,
+              -0.0634369,
+              -0.252574,
+              -0.8427785
+            ],
+            [
+              0.0276971,
+              0.0248535,
+              0.0167538,
+              -0.0037201,
+              -0.0629207,
+              -0.2520014,
+              -0.8421812
+            ],
+            [
+              0.0310464,
+              0.0281081,
+              0.019784,
+              -0.0009076,
+              -0.060469,
+              -0.2496295,
+              -0.8398445
+            ],
+            [
+              0.0437035,
+              0.0405524,
+              0.0316638,
+              0.0084133,
+              -0.0529357,
+              -0.2429242,
+              -0.8335768
+            ],
+            [
+              0.068946,
+              0.0656842,
+              0.0566183,
+              0.0314986,
+              -0.0345207,
+              -0.2267866,
+              -0.8181089
+            ]
+          ]
+        },
+        "related_pin": "D1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001557538,
+            0.004851847,
+            0.01511387,
+            0.04708083,
+            0.1466603,
+            0.456858
+          ],
+          "values": [
+            [
+              0.0169656,
+              0.0189915,
+              0.0253373,
+              0.044875,
+              0.1050364,
+              0.2918776,
+              0.8779585
+            ],
+            [
+              0.0170585,
+              0.0190762,
+              0.0253616,
+              0.0449145,
+              0.1051425,
+              0.2920198,
+              0.8746382
+            ],
+            [
+              0.0175909,
+              0.0195454,
+              0.0256908,
+              0.0450855,
+              0.1053441,
+              0.293551,
+              0.8784465
+            ],
+            [
+              0.0189884,
+              0.0208442,
+              0.0267731,
+              0.0457914,
+              0.10586,
+              0.2927751,
+              0.8764123
+            ],
+            [
+              0.0230983,
+              0.0248008,
+              0.0304712,
+              0.0483934,
+              0.1080949,
+              0.293862,
+              0.8803779
+            ],
+            [
+              0.033145,
+              0.0343269,
+              0.0393707,
+              0.0572527,
+              0.1145969,
+              0.3004945,
+              0.8816557
+            ],
+            [
+              0.0579216,
+              0.058593,
+              0.0622882,
+              0.0786358,
+              0.1353933,
+              0.3173524,
+              0.898047
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001557538,
+            0.004851847,
+            0.01511387,
+            0.04708083,
+            0.1466603,
+            0.456858
+          ],
+          "values": [
+            [
+              0.0359261,
+              0.0329221,
+              0.0246042,
+              0.0035483,
+              -0.0559212,
+              -0.2451417,
+              -0.8354655
+            ],
+            [
+              0.0356958,
+              0.0327228,
+              0.0244218,
+              0.0032676,
+              -0.0562602,
+              -0.2453971,
+              -0.8357542
+            ],
+            [
+              0.0353245,
+              0.0323968,
+              0.0241139,
+              0.0029586,
+              -0.0566047,
+              -0.2457382,
+              -0.8361062
+            ],
+            [
+              0.0350628,
+              0.0320113,
+              0.0237753,
+              0.0026002,
+              -0.0568623,
+              -0.2460799,
+              -0.8364934
+            ],
+            [
+              0.0353721,
+              0.0324477,
+              0.0240851,
+              0.0027651,
+              -0.0569814,
+              -0.2462733,
+              -0.8365533
+            ],
+            [
+              0.0429349,
+              0.0397712,
+              0.0312651,
+              0.0074479,
+              -0.0536225,
+              -0.2432849,
+              -0.8339171
+            ],
+            [
+              0.0674891,
+              0.0643305,
+              0.0551845,
+              0.030326,
+              -0.0360745,
+              -0.2281317,
+              -0.8195036
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001557538,
+            0.004851847,
+            0.01511387,
+            0.04708083,
+            0.1466603,
+            0.456858
+          ],
+          "values": [
+            [
+              0.0269494,
+              0.0288014,
+              0.0351799,
+              0.0552038,
+              0.1160958,
+              0.3033259,
+              0.8854936
+            ],
+            [
+              0.0267824,
+              0.0286024,
+              0.0349679,
+              0.0550526,
+              0.1159511,
+              0.3033307,
+              0.8850983
+            ],
+            [
+              0.0265954,
+              0.0284374,
+              0.0347996,
+              0.0548203,
+              0.1156543,
+              0.3028986,
+              0.8854463
+            ],
+            [
+              0.0277372,
+              0.0295131,
+              0.0356791,
+              0.0550629,
+              0.1155294,
+              0.3028305,
+              0.8849104
+            ],
+            [
+              0.0321734,
+              0.033624,
+              0.0389452,
+              0.0579405,
+              0.1174002,
+              0.3040124,
+              0.8855907
+            ],
+            [
+              0.0434712,
+              0.0445558,
+              0.0492849,
+              0.0668843,
+              0.124422,
+              0.3102227,
+              0.8915656
+            ],
+            [
+              0.0679376,
+              0.0685788,
+              0.0721436,
+              0.0884555,
+              0.1442805,
+              0.3267773,
+              0.9060985
+            ]
+          ]
+        }
+      },
+      {
+        "fall_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001557538,
+            0.004851847,
+            0.01511387,
+            0.04708083,
+            0.1466603,
+            0.456858
+          ],
+          "values": [
+            [
+              0.0423361,
+              0.0392623,
+              0.0307969,
+              0.0089266,
+              -0.0512522,
+              -0.2406696,
+              -0.8311697
+            ],
+            [
+              0.0421886,
+              0.0392661,
+              0.0307076,
+              0.0088511,
+              -0.0513499,
+              -0.2407775,
+              -0.8312836
+            ],
+            [
+              0.0421357,
+              0.039112,
+              0.0304032,
+              0.0086622,
+              -0.0514607,
+              -0.240898,
+              -0.8314004
+            ],
+            [
+              0.0419318,
+              0.0388858,
+              0.030371,
+              0.0084226,
+              -0.0516186,
+              -0.241061,
+              -0.8315375
+            ],
+            [
+              0.0421883,
+              0.0392196,
+              0.0305623,
+              0.0085603,
+              -0.0515988,
+              -0.2412031,
+              -0.8316438
+            ],
+            [
+              0.0490883,
+              0.0459844,
+              0.0370885,
+              0.0128703,
+              -0.0479654,
+              -0.2377289,
+              -0.8285443
+            ],
+            [
+              0.0756113,
+              0.072448,
+              0.0633345,
+              0.0388119,
+              -0.0283156,
+              -0.221156,
+              -0.8126485
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_power power_outputs_1": {
+          "index_1": [
+            0.01,
+            0.02305058,
+            0.05313293,
+            0.1224745,
+            0.2823108,
+            0.6507428,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.001557538,
+            0.004851847,
+            0.01511387,
+            0.04708083,
+            0.1466603,
+            0.456858
+          ],
+          "values": [
+            [
+              0.0273981,
+              0.0292365,
+              0.0356193,
+              0.055629,
+              0.1165368,
+              0.3037585,
+              0.8859329
+            ],
+            [
+              0.0271588,
+              0.0290218,
+              0.035424,
+              0.0554233,
+              0.1163281,
+              0.3035723,
+              0.8856458
+            ],
+            [
+              0.0269632,
+              0.028798,
+              0.0351803,
+              0.0552126,
+              0.116081,
+              0.303323,
+              0.8858811
+            ],
+            [
+              0.0278428,
+              0.0296562,
+              0.0358586,
+              0.0555458,
+              0.1160484,
+              0.3035017,
+              0.8887111
+            ],
+            [
+              0.03159,
+              0.0331058,
+              0.0387448,
+              0.0576783,
+              0.1172402,
+              0.3046532,
+              0.8860834
+            ],
+            [
+              0.0417569,
+              0.0429526,
+              0.0478488,
+              0.0657163,
+              0.1234285,
+              0.3100002,
+              0.8916197
+            ],
+            [
+              0.0668484,
+              0.0675801,
+              0.0713125,
+              0.088021,
+              0.1446542,
+              0.3272525,
+              0.90689
+            ]
+          ]
+        }
+      }
+    ],
+    "max_capacitance": 0.456858,
+    "max_transition": 1.498488,
+    "power_down_function": "(!VPWR + VGND)",
+    "related_ground_pin": "VGND",
+    "related_power_pin": "VPWR",
+    "timing": [
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00155754,
+            0.00485185,
+            0.0151139,
+            0.0470808,
+            0.14666,
+            0.456858
+          ],
+          "values": [
+            [
+              0.2145588,
+              0.2195688,
+              0.2323685,
+              0.2620047,
+              0.3283888,
+              0.4814446,
+              0.8914545
+            ],
+            [
+              0.2169674,
+              0.2219849,
+              0.2347287,
+              0.2639041,
+              0.3308883,
+              0.4837918,
+              0.8937748
+            ],
+            [
+              0.2235106,
+              0.2284884,
+              0.2412004,
+              0.2709159,
+              0.3373637,
+              0.4903205,
+              0.900013
+            ],
+            [
+              0.2377105,
+              0.2426874,
+              0.2554979,
+              0.2850229,
+              0.3514194,
+              0.5044689,
+              0.9145074
+            ],
+            [
+              0.2636957,
+              0.2687007,
+              0.2814027,
+              0.3109371,
+              0.3773082,
+              0.5301822,
+              0.9400069
+            ],
+            [
+              0.31396,
+              0.3192018,
+              0.3326571,
+              0.3637162,
+              0.4327869,
+              0.5891154,
+              0.9997722
+            ],
+            [
+              0.463621,
+              0.4693217,
+              0.4839649,
+              0.5178843,
+              0.5921703,
+              0.7559938,
+              1.1716231
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00155754,
+            0.00485185,
+            0.0151139,
+            0.0470808,
+            0.14666,
+            0.456858
+          ],
+          "values": [
+            [
+              0.0682746,
+              0.0726164,
+              0.0839663,
+              0.1117852,
+              0.1848965,
+              0.400534,
+              1.0693992
+            ],
+            [
+              0.0710174,
+              0.075369,
+              0.0866516,
+              0.1144486,
+              0.1874027,
+              0.4041147,
+              1.0699946
+            ],
+            [
+              0.0780428,
+              0.0823669,
+              0.0936613,
+              0.1212796,
+              0.1941257,
+              0.4099804,
+              1.0783114
+            ],
+            [
+              0.0949818,
+              0.0992929,
+              0.1103109,
+              0.1372276,
+              0.2095626,
+              0.4252978,
+              1.093973
+            ],
+            [
+              0.1122509,
+              0.1168256,
+              0.1287698,
+              0.1563749,
+              0.2280071,
+              0.4428987,
+              1.1116714
+            ],
+            [
+              0.1130953,
+              0.1180792,
+              0.1312201,
+              0.162006,
+              0.2339863,
+              0.4486628,
+              1.1160015
+            ],
+            [
+              0.0622547,
+              0.067889,
+              0.0830488,
+              0.1181885,
+              0.1969307,
+              0.4121162,
+              1.0791193
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00155754,
+            0.00485185,
+            0.0151139,
+            0.0470808,
+            0.14666,
+            0.456858
+          ],
+          "values": [
+            [
+              0.0346361,
+              0.0384129,
+              0.0489767,
+              0.0718031,
+              0.1316702,
+              0.292707,
+              0.8133799
+            ],
+            [
+              0.0346159,
+              0.0386317,
+              0.0480481,
+              0.0716644,
+              0.1316747,
+              0.2923435,
+              0.8146008
+            ],
+            [
+              0.0345251,
+              0.0387131,
+              0.0487392,
+              0.0718063,
+              0.1310946,
+              0.2921847,
+              0.8125317
+            ],
+            [
+              0.0345913,
+              0.0386214,
+              0.048804,
+              0.0717189,
+              0.1315991,
+              0.292954,
+              0.809512
+            ],
+            [
+              0.0353897,
+              0.039265,
+              0.0489736,
+              0.0722188,
+              0.1320919,
+              0.2916587,
+              0.8161935
+            ],
+            [
+              0.0409048,
+              0.0447581,
+              0.0550769,
+              0.079131,
+              0.1393493,
+              0.2977324,
+              0.8133698
+            ],
+            [
+              0.0517064,
+              0.0560675,
+              0.0672751,
+              0.0940781,
+              0.1527935,
+              0.3130781,
+              0.8175116
+            ]
+          ]
+        },
+        "related_pin": "A1",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00155754,
+            0.00485185,
+            0.0151139,
+            0.0470808,
+            0.14666,
+            0.456858
+          ],
+          "values": [
+            [
+              0.0169039,
+              0.0211649,
+              0.0327992,
+              0.0647418,
+              0.1650876,
+              0.4864462,
+              1.4916004
+            ],
+            [
+              0.0168396,
+              0.0211316,
+              0.0327011,
+              0.0647695,
+              0.164836,
+              0.486104,
+              1.4966648
+            ],
+            [
+              0.0166357,
+              0.0208533,
+              0.0325536,
+              0.0644253,
+              0.1646889,
+              0.4870531,
+              1.4931624
+            ],
+            [
+              0.0173671,
+              0.0214638,
+              0.0328278,
+              0.0645896,
+              0.1645848,
+              0.4870964,
+              1.4925462
+            ],
+            [
+              0.0212766,
+              0.0252588,
+              0.0367169,
+              0.0672494,
+              0.1655518,
+              0.4855797,
+              1.493822
+            ],
+            [
+              0.0288186,
+              0.032837,
+              0.0442526,
+              0.0748999,
+              0.1685948,
+              0.4874792,
+              1.4947316
+            ],
+            [
+              0.0429069,
+              0.0465164,
+              0.0582087,
+              0.0911743,
+              0.1820014,
+              0.4940029,
+              1.4944767
+            ]
+          ]
+        },
+        "timing_sense": "positive_unate",
+        "timing_type": "combinational"
+      },
+      {
+        "cell_fall del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00155754,
+            0.00485185,
+            0.0151139,
+            0.0470808,
+            0.14666,
+            0.456858
+          ],
+          "values": [
+            [
+              0.239658,
+              0.2450638,
+              0.2587649,
+              0.2904247,
+              0.359537,
+              0.515581,
+              0.9274457
+            ],
+            [
+              0.2430015,
+              0.2482797,
+              0.2618793,
+              0.2934556,
+              0.3626811,
+              0.5187352,
+              0.9308187
+            ],
+            [
+              0.2497897,
+              0.2551848,
+              0.2689188,
+              0.3003828,
+              0.3697609,
+              0.5257511,
+              0.9374652
+            ],
+            [
+              0.2622892,
+              0.2676893,
+              0.2814183,
+              0.3128333,
+              0.3821276,
+              0.5383026,
+              0.9502162
+            ],
+            [
+              0.2823551,
+              0.2878919,
+              0.3015976,
+              0.3329255,
+              0.4022044,
+              0.5581526,
+              0.9699583
+            ],
+            [
+              0.326417,
+              0.3320305,
+              0.3460932,
+              0.3788176,
+              0.4501686,
+              0.608791,
+              1.0214251
+            ],
+            [
+              0.4729709,
+              0.4788775,
+              0.4944551,
+              0.5295088,
+              0.6050819,
+              0.7701642,
+              1.1867042
+            ]
+          ]
+        },
+        "cell_rise del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00155754,
+            0.00485185,
+            0.0151139,
+            0.0470808,
+            0.14666,
+            0.456858
+          ],
+          "values": [
+            [
+              0.0720528,
+              0.0763742,
+              0.0877254,
+              0.1155308,
+              0.1886359,
+              0.4043134,
+              1.0731203
+            ],
+            [
+              0.0746889,
+              0.0790619,
+              0.0903982,
+              0.1181315,
+              0.1912345,
+              0.4068733,
+              1.0757063
+            ],
+            [
+              0.0808736,
+              0.0852458,
+              0.09651,
+              0.1242122,
+              0.1971322,
+              0.4130073,
+              1.0813113
+            ],
+            [
+              0.0942292,
+              0.0985721,
+              0.1097123,
+              0.1372667,
+              0.2094218,
+              0.4254423,
+              1.0912121
+            ],
+            [
+              0.1097916,
+              0.1143699,
+              0.126208,
+              0.1540979,
+              0.2261969,
+              0.4423388,
+              1.1073151
+            ],
+            [
+              0.1102634,
+              0.1153992,
+              0.128301,
+              0.1591352,
+              0.2319728,
+              0.4466192,
+              1.1140143
+            ],
+            [
+              0.0572544,
+              0.0633265,
+              0.0782623,
+              0.114308,
+              0.1935052,
+              0.4111982,
+              1.0770995
+            ]
+          ]
+        },
+        "fall_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00155754,
+            0.00485185,
+            0.0151139,
+            0.0470808,
+            0.14666,
+            0.456858
+          ],
+          "values": [
+            [
+              0.0392116,
+              0.0433818,
+              0.0538032,
+              0.0788433,
+              0.1374021,
+              0.297497,
+              0.8144493
+            ],
+            [
+              0.0399108,
+              0.043543,
+              0.0546255,
+              0.0786127,
+              0.1383347,
+              0.2969169,
+              0.8137556
+            ],
+            [
+              0.039542,
+              0.0435931,
+              0.0544741,
+              0.078387,
+              0.1380448,
+              0.2976003,
+              0.814442
+            ],
+            [
+              0.0397848,
+              0.0438255,
+              0.0546099,
+              0.0781702,
+              0.1379859,
+              0.2977955,
+              0.813164
+            ],
+            [
+              0.0400278,
+              0.0441637,
+              0.0541794,
+              0.0783289,
+              0.1382539,
+              0.2967137,
+              0.8169088
+            ],
+            [
+              0.0454324,
+              0.0487314,
+              0.0597975,
+              0.0848768,
+              0.1447255,
+              0.3018939,
+              0.8173877
+            ],
+            [
+              0.0548581,
+              0.0586548,
+              0.0709191,
+              0.0965233,
+              0.1556767,
+              0.314069,
+              0.8194311
+            ]
+          ]
+        },
+        "related_pin": "A2",
+        "rise_transition del_1_7_7": {
+          "index_1": [
+            0.01,
+            0.0230506,
+            0.0531329,
+            0.122474,
+            0.282311,
+            0.650743,
+            1.5
+          ],
+          "index_2": [
+            0.0005,
+            0.00155754,
+            0.00485185,
+            0.0151139,
+            0.0470808,
+            0.14666,
+            0.456858
+          ],
+          "values": [
+            [
+              0.0168644,
+              0.0211453,
+              0.0327632,
+              0.0647265,
+              0.1650231,
+              0.4866247,
+              1.4916013
+            ],
+            [
+              0.0168221,
+              0.0211192,
+              0.032693,
+              0.0647173,
+              0.1650559,
+              0.4865798,
+              1.4920249
+            ],
+            [
+              0.0167127,
+              0.0209682,
+              0.0325749,
+              0.0644872,
+              0.1648333,
+              0.4871246,
+              1.493349
+            ],
+            [
+              0.0170129,
+              0.0212226,
+              0.032657,
+              0.0645515,
+              0.1648495,
+              0.4872117,
+              1.4911755
+            ],
+            [
+              0.0201041,
+              0.0242323,
+              0.0359957,
+              0.0669817,
+              0.165647,