blob: c7b1af4dacacda1f6f8aedf482725a51fb9c3924 [file] [log] [blame]
{
"area": 11.2608,
"cell_footprint": "sky130_fd_sc_hd__nor2",
"cell_leakage_power": 0.001082253,
"driver_waveform_fall": "ramp",
"driver_waveform_rise": "ramp",
"leakage_power": [
{
"value": 0.0020336,
"when": "!A&B"
},
{
"value": 0.0001415,
"when": "!A&!B"
},
{
"value": 0.0020423,
"when": "A&B"
},
{
"value": 0.0001116,
"when": "A&!B"
}
],
"pg_pin,VGND": {
"pg_type": "primary_ground",
"related_bias_pin": "VPB",
"voltage_name": "VGND"
},
"pg_pin,VNB": {
"pg_type": "nwell",
"physical_connection": "device_layer",
"voltage_name": "VNB"
},
"pg_pin,VPB": {
"pg_type": "pwell",
"physical_connection": "device_layer",
"voltage_name": "VPB"
},
"pg_pin,VPWR": {
"pg_type": "primary_power",
"related_bias_pin": "VNB",
"voltage_name": "VPWR"
},
"pin,A": {
"capacitance": 0.008062,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.007649,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power,power_inputs_1": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5.0
],
"values": [
0.0130214,
0.0130183,
0.0130098,
0.0130095,
0.0130087,
0.0130065,
0.0130001
]
},
"rise_power,power_inputs_1": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5.0
],
"values": [
-0.010964,
-0.0110253,
-0.0111979,
-0.0112071,
-0.0112331,
-0.0113062,
-0.0115123
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.008475
},
"pin,B": {
"capacitance": 0.008102,
"clock": "false",
"direction": "input",
"fall_capacitance": 0.007587,
"input_voltage": "GENERAL",
"internal_power": {
"fall_power,power_inputs_1": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5.0
],
"values": [
0.0061505,
0.0061574,
0.0061769,
0.0061763,
0.0061749,
0.0061708,
0.0061591
]
},
"rise_power,power_inputs_1": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5.0
],
"values": [
-0.0061513,
-0.0061485,
-0.0061408,
-0.0061413,
-0.0061428,
-0.0061467,
-0.006158
]
}
},
"max_transition": 1.5,
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"rise_capacitance": 0.008617
},
"pin,Y": {
"direction": "output",
"function": "(!A&!B)",
"internal_power": [
{
"fall_power,power_outputs_1": {
"index_1": [
0.01,
0.02817269,
0.07937005,
0.2236068,
0.6299605,
1.774768,
5
],
"index_2": [
0.0005,
0.001511929,
0.004571861,
0.01382466,
0.04180383,
0.1264089,
0.3822426
],
"values": [
[
0.0098488,
0.0085673,
0.0046748,
-0.0070296,
-0.0425267,
-0.1505099,
-0.477658
],
[
0.0096499,
0.0083616,
0.0044772,
-0.0071937,
-0.0426882,
-0.1506342,
-0.4777955
],
[
0.0093432,
0.0080689,
0.0042444,
-0.0073303,
-0.0428282,
-0.1507541,
-0.4778995
],
[
0.0087902,
0.0075287,
0.0037283,
-0.0078103,
-0.043099,
-0.1509459,
-0.4781072
],
[
0.008769,
0.0074998,
0.0036758,
-0.0077938,
-0.0435828,
-0.1511275,
-0.4781768
],
[
0.0086974,
0.0074308,
0.0035894,
-0.0082037,
-0.0435719,
-0.1517571,
-0.4784482
],
[
0.0086978,
0.007463,
0.0035645,
-0.0080029,
-0.0438634,
-0.1513364,
-0.478551
]
]
},
"related_pin": "A",
"rise_power,power_outputs_1": {
"index_1": [
0.01,
0.02817269,
0.07937005,
0.2236068,
0.6299605,
1.774768,
5
],
"index_2": [
0.0005,
0.001511929,
0.004571861,
0.01382466,
0.04180383,
0.1264089,
0.3822426
],
"values": [
[
0.0181894,
0.0195338,
0.0235822,
0.0354786,
0.0710576,
0.177975,
0.5027396
],
[
0.0175695,
0.0189425,
0.0230287,
0.0350795,
0.0708933,
0.1778984,
0.5022523
],
[
0.0171344,
0.0184579,
0.0224584,
0.0345062,
0.0706151,
0.17849,
0.5023125
],
[
0.0167757,
0.018112,
0.022004,
0.034022,
0.0697032,
0.1772156,
0.5021543
],
[
0.01657,
0.0178919,
0.0218241,
0.0338595,
0.0692864,
0.1764579,
0.500869
],
[
0.0157934,
0.0173144,
0.021761,
0.0339325,
0.0695189,
0.1765212,
0.5007825
],
[
0.0155102,
0.0168275,
0.0208112,
0.0327772,
0.0691613,
0.1771573,
0.5010521
]
]
}
},
{
"fall_power,power_outputs_1": {
"index_1": [
0.01,
0.02817269,
0.07937005,
0.2236068,
0.6299605,
1.774768,
5
],
"index_2": [
0.0005,
0.001511929,
0.004571861,
0.01382466,
0.04180383,
0.1264089,
0.3822426
],
"values": [
[
0.0011584,
-3.48e-05,
-0.0038271,
-0.0155386,
-0.0512671,
-0.1595343,
-0.4869983
],
[
0.0006928,
-0.0004542,
-0.0040347,
-0.0155388,
-0.0511244,
-0.1593433,
-0.4867309
],
[
-7.33e-05,
-0.0011971,
-0.0045464,
-0.0157688,
-0.0511123,
-0.1591588,
-0.4865865
],
[
-0.0005823,
-0.0016708,
-0.0051735,
-0.0165619,
-0.0515678,
-0.1592531,
-0.4864668
],
[
-0.0012383,
-0.0024246,
-0.0060681,
-0.0172915,
-0.0523331,
-0.1596578,
-0.4866502
],
[
-0.0015585,
-0.002792,
-0.0065001,
-0.0180502,
-0.0532233,
-0.1606735,
-0.4870889
],
[
-0.0018728,
-0.0030905,
-0.0069312,
-0.0184171,
-0.0539418,
-0.1613734,
-0.4878613
]
]
},
"related_pin": "B",
"rise_power,power_outputs_1": {
"index_1": [
0.01,
0.02817269,
0.07937005,
0.2236068,
0.6299605,
1.774768,
5
],
"index_2": [
0.0005,
0.001511929,
0.004571861,
0.01382466,
0.04180383,
0.1264089,
0.3822426
],
"values": [
[
0.0194245,
0.0208426,
0.0250318,
0.0370635,
0.072633,
0.1799133,
0.5046029
],
[
0.0186209,
0.0200376,
0.0242318,
0.0364498,
0.072289,
0.1797771,
0.5035473
],
[
0.0180382,
0.0193982,
0.0234538,
0.0355393,
0.0718355,
0.1799588,
0.5035801
],
[
0.0176425,
0.0189563,
0.0228694,
0.0349398,
0.0711057,
0.178691,
0.5036554
],
[
0.0173198,
0.0186995,
0.0227617,
0.0345383,
0.0703113,
0.1778422,
0.5025086
],
[
0.0172216,
0.0185037,
0.0224384,
0.034399,
0.0701421,
0.1764879,
0.5023033
],
[
0.0162748,
0.0175938,
0.021539,
0.0333308,
0.069938,
0.1774706,
0.5010597
]
]
}
}
],
"max_capacitance": 0.109873,
"max_transition": 1.495809,
"output_voltage": "GENERAL",
"power_down_function": "(!VPWR + VGND)",
"related_ground_pin": "VGND",
"related_power_pin": "VPWR",
"timing": [
{
"ccsn_first_stage": {
"dc_current,ccsn_dc": {
"index_1": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"index_2": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"values": [
[
5.58295,
0.222827,
0.124433,
0.122014,
0.119536,
0.118269,
0.11698,
0.115666,
0.114322,
0.112943,
0.111522,
0.110049,
0.108513,
0.106896,
0.105173,
0.103302,
0.101209,
0.0987149,
0.0952502,
0.0894119,
0.0798115,
0.065989,
0.0479527,
0.0258723,
-2.60852e-08,
-0.0611034,
-0.131384,
-0.381798,
-3.203
],
[
5.57762,
0.218172,
0.120186,
0.117905,
0.115566,
0.114371,
0.113154,
0.111913,
0.110644,
0.109341,
0.107997,
0.106605,
0.105153,
0.103624,
0.101993,
0.100223,
0.098242,
0.0958769,
0.0925758,
0.0869647,
0.077684,
0.0642799,
0.0467506,
0.0252478,
-2.73638e-08,
-0.0598249,
-0.129003,
-0.378952,
-3.20298
],
[
5.56512,
0.206555,
0.107958,
0.106042,
0.104076,
0.10307,
0.102045,
0.101,
0.0999297,
0.0988307,
0.0976974,
0.0965223,
0.0952957,
0.0940036,
0.0926257,
0.0911287,
0.089451,
0.0874408,
0.0845924,
0.079618,
0.071245,
0.0590489,
0.0430153,
0.0232687,
-2.66812e-08,
-0.0554879,
-0.120328,
-0.360048,
-3.20085
],
[
5.56099,
0.213943,
0.0997395,
0.098065,
0.096344,
0.0954624,
0.0945643,
0.0936471,
0.092708,
0.091743,
0.0907473,
0.0897145,
0.0886358,
0.0874992,
0.0862863,
0.0849681,
0.0834893,
0.0817122,
0.0791653,
0.0746243,
0.0668781,
0.0555157,
0.0405064,
0.0219481,
-2.4221e-08,
-0.05269,
-0.114846,
-0.348358,
-3.19952
],
[
5.55503,
0.258719,
0.087281,
0.0859685,
0.0846151,
0.0839202,
0.0832111,
0.082486,
0.0817423,
0.0809771,
0.0801865,
0.0793654,
0.0785067,
0.0776007,
0.0766328,
0.0755793,
0.0743951,
0.0729644,
0.0708722,
0.0670035,
0.0602426,
0.0501848,
0.0367546,
0.0199928,
-2.5949e-08,
-0.0487241,
-0.107266,
-0.332822,
-3.19777
],
[
5.54998,
0.293487,
0.0784818,
0.0774239,
0.0763286,
0.0757645,
0.0751878,
0.0745969,
0.0739897,
0.0733639,
0.0727161,
0.072042,
0.071336,
0.0705898,
0.0697913,
0.0689206,
0.0679397,
0.0667489,
0.0649773,
0.0615985,
0.0555657,
0.0464624,
0.034164,
0.0186587,
-2.7231e-08,
-0.0461444,
-0.102457,
-0.323339,
-3.19672
],
[
5.54231,
0.332277,
0.066959,
0.0662305,
0.065471,
0.0650769,
0.064672,
0.0642552,
0.0638249,
0.0633793,
0.062916,
0.0624318,
0.0619225,
0.0613819,
0.0608009,
0.0601645,
0.0594439,
0.0585613,
0.0572102,
0.0544997,
0.0494723,
0.0416676,
0.0308692,
0.016983,
-1.44777e-07,
-0.04305,
-0.0968127,
-0.312552,
-3.19554
],
[
5.53133,
0.3724,
0.0515831,
0.0512187,
0.0508646,
0.050678,
0.0504842,
0.0502825,
0.0500721,
0.0498517,
0.0496199,
0.049375,
0.0491143,
0.0488343,
0.0485298,
0.0481921,
0.0478043,
0.0473193,
0.0465334,
0.0447875,
0.041246,
0.0353133,
0.0265865,
0.0148427,
-9.48248e-08,
-0.0393273,
-0.0901934,
-0.300337,
-3.19422
],
[
5.52021,
0.414846,
0.0339047,
0.0334881,
0.0333605,
0.0332947,
0.0332274,
0.0331583,
0.0330872,
0.0330137,
0.0329375,
0.0328579,
0.0327744,
0.0326857,
0.0325906,
0.0324863,
0.0323679,
0.0322203,
0.0319665,
0.03132,
0.0297997,
0.0266304,
0.0208901,
0.0120633,
-7.94721e-08,
-0.0348402,
-0.0824452,
-0.286569,
-3.19274
],
[
5.51311,
0.463444,
0.0197615,
0.0179379,
0.0178703,
0.0178384,
0.0178061,
0.0177733,
0.01774,
0.0177061,
0.0176714,
0.0176359,
0.0175992,
0.0175611,
0.0175212,
0.0174787,
0.017432,
0.0173754,
0.0172725,
0.0169869,
0.016416,
0.0154617,
0.0133655,
0.00845844,
8.90389e-07,
-0.0294378,
-0.0734094,
-0.27114,
-3.19112
],
[
5.512,
0.519726,
0.0150384,
0.00725541,
0.00716705,
0.00715216,
0.0071372,
0.0071221,
0.00710684,
0.0070914,
0.00707574,
0.0070598,
0.00704352,
0.0070268,
0.00700949,
0.00699135,
0.00697176,
0.00694821,
0.00689708,
0.00672675,
0.00642342,
0.00604073,
0.0055456,
0.00424943,
-3.50694e-07,
-0.0229978,
-0.0629562,
-0.25399,
-3.18933
],
[
5.51759,
0.583681,
0.0269475,
0.00241411,
0.00193408,
0.00192819,
0.00192296,
0.00191771,
0.00191244,
0.00190711,
0.00190174,
0.00189629,
0.00189076,
0.00188512,
0.00187933,
0.00187331,
0.00186687,
0.00185879,
0.00183141,
0.00174534,
0.00162786,
0.00150315,
0.00137313,
0.00118351,
-4.6523e-06,
-0.0156104,
-0.0511095,
-0.235194,
-3.18739
],
[
5.52826,
0.652946,
0.0569088,
0.00301474,
0.000392055,
0.000377257,
0.000372842,
0.000368692,
0.000364556,
0.000360399,
0.000356204,
0.000351964,
0.000347674,
0.000343323,
0.000338906,
0.0003344,
0.000329713,
0.000323696,
0.000305725,
0.000277309,
0.000247492,
0.000218276,
0.000189366,
0.00015588,
-7.48224e-05,
-0.00828862,
-0.0384712,
-0.215161,
-3.18546
],
[
5.54171,
0.724482,
0.0995073,
0.0112225,
7.82744e-05,
-6.29724e-05,
-9.61089e-05,
-0.000126231,
-0.000155958,
-0.0001857,
-0.000215583,
-0.000245656,
-0.000275944,
-0.000306461,
-0.000337217,
-0.00036822,
-0.000399559,
-0.000432805,
-0.000468952,
-0.000505811,
-0.000542735,
-0.000579681,
-0.000616761,
-0.00065473,
-0.000730528,
-0.00371547,
-0.0268881,
-0.195198,
-3.18462
],
[
5.55722,
0.796733,
0.149384,
0.0320063,
1.58432e-05,
-0.000926684,
-0.00113029,
-0.00130793,
-0.00148132,
-0.00165372,
-0.00182615,
-0.00199904,
-0.0021726,
-0.00234693,
-0.00252207,
-0.00269808,
-0.00287517,
-0.00305374,
-0.00323332,
-0.00341374,
-0.00359497,
-0.00377703,
-0.00395994,
-0.00414388,
-0.00433579,
-0.00537912,
-0.0203337,
-0.17919,
-3.18944
],
[
5.57492,
0.868886,
0.202152,
0.0627699,
2.77986e-06,
-0.00481563,
-0.00577193,
-0.00647111,
-0.00712647,
-0.007766,
-0.00839819,
-0.00902662,
-0.0096531,
-0.0102786,
-0.0109038,
-0.0115291,
-0.0121548,
-0.0127809,
-0.0134076,
-0.0140349,
-0.0146629,
-0.0152917,
-0.0159215,
-0.0165523,
-0.0171855,
-0.0185843,
-0.0267954,
-0.175571,
-3.20992
],
[
5.59516,
0.940185,
0.253654,
0.0951927,
3.35842e-07,
-0.0166247,
-0.0211891,
-0.0232823,
-0.0250006,
-0.0265952,
-0.0281301,
-0.0296304,
-0.0311084,
-0.0325709,
-0.0340219,
-0.0354642,
-0.0368995,
-0.0383292,
-0.0397542,
-0.0411751,
-0.0425926,
-0.0440072,
-0.0454195,
-0.04683,
-0.0482394,
-0.0510836,
-0.0561505,
-0.193845,
-3.25559
],
[
5.61835,
1.00973,
0.300922,
0.124718,
3.65436e-08,
-0.0343574,
-0.0505816,
-0.0566741,
-0.060359,
-0.063407,
-0.066189,
-0.0688284,
-0.0713797,
-0.0738714,
-0.0763201,
-0.0787363,
-0.081127,
-0.0834972,
-0.0858502,
-0.0881888,
-0.0905151,
-0.0928308,
-0.0951375,
-0.0974365,
-0.0997295,
-0.104309,
-0.109382,
-0.234283,
-3.32423
],
[
5.64476,
1.07645,
0.343032,
0.150571,
1.42958e-08,
-0.0519035,
-0.0854476,
-0.102056,
-0.109937,
-0.115248,
-0.119659,
-0.123642,
-0.127383,
-0.130967,
-0.134443,
-0.137841,
-0.141179,
-0.144469,
-0.14772,
-0.15094,
-0.154133,
-0.157304,
-0.160455,
-0.16359,
-0.166711,
-0.172926,
-0.179226,
-0.290552,
-3.4074
],
[
5.6744,
1.1392,
0.380243,
0.173035,
1.24763e-08,
-0.0672421,
-0.11777,
-0.150457,
-0.167529,
-0.176949,
-0.183716,
-0.189384,
-0.194481,
-0.199238,
-0.20377,
-0.208144,
-0.2124,
-0.216566,
-0.220661,
-0.224698,
-0.228686,
-0.232634,
-0.236549,
-0.240434,
-0.244296,
-0.251965,
-0.259616,
-0.357627,
-3.49925
],
[
5.70682,
1.1971,
0.413116,
0.192573,
1.30712e-08,
-0.0803211,
-0.145769,
-0.194898,
-0.226504,
-0.243842,
-0.254478,
-0.26248,
-0.269254,
-0.275347,
-0.281017,
-0.286399,
-0.291576,
-0.296597,
-0.3015,
-0.306306,
-0.311035,
-0.315699,
-0.320309,
-0.324874,
-0.3294,
-0.338364,
-0.347256,
-0.432773,
-3.59677
],
[
5.74108,
1.24977,
0.442212,
0.209622,
1.2231e-08,
-0.091474,
-0.169756,
-0.233521,
-0.281051,
-0.311205,
-0.328622,
-0.340194,
-0.349219,
-0.356948,
-0.363918,
-0.370398,
-0.376538,
-0.38243,
-0.388133,
-0.39369,
-0.399128,
-0.404469,
-0.409729,
-0.414923,
-0.420059,
-0.430197,
-0.440214,
-0.514482,
-3.6983
],
[
5.77587,
1.29727,
0.468028,
0.224558,
1.3073e-08,
-0.10104,
-0.19035,
-0.266778,
-0.32882,
-0.37426,
-0.402688,
-0.420073,
-0.432365,
-0.442234,
-0.450781,
-0.458517,
-0.465713,
-0.472527,
-0.479057,
-0.48537,
-0.49151,
-0.497511,
-0.503398,
-0.509189,
-0.5149,
-0.526132,
-0.537182,
-0.601832,
-3.80279
],
[
5.8098,
1.33993,
0.49099,
0.237695,
1.28674e-08,
-0.109299,
-0.208122,
-0.295476,
-0.370118,
-0.430125,
-0.472839,
-0.499509,
-0.516815,
-0.529664,
-0.54023,
-0.549476,
-0.557882,
-0.565711,
-0.573125,
-0.580226,
-0.587083,
-0.593748,
-0.600254,
-0.60663,
-0.612897,
-0.625171,
-0.637191,
-0.694211,
-3.90949
],
[
5.84182,
1.37821,
0.511464,
0.249293,
1.24709e-08,
-0.116475,
-0.223546,
-0.320358,
-0.405876,
-0.478606,
-0.535954,
-0.575475,
-0.600559,
-0.617771,
-0.631057,
-0.642202,
-0.652047,
-0.661037,
-0.669427,
-0.677376,
-0.684989,
-0.692338,
-0.699474,
-0.706437,
-0.713255,
-0.726547,
-0.739497,
-0.791154,
-4.01784
],
[
5.8981,
1.44355,
0.546142,
0.268698,
1.19616e-08,
-0.128253,
-0.248809,
-0.361028,
-0.464168,
-0.557283,
-0.63891,
-0.706347,
-0.756175,
-0.789416,
-0.812085,
-0.829112,
-0.843025,
-0.85506,
-0.865868,
-0.875822,
-0.885153,
-0.894012,
-0.902501,
-0.910695,
-0.918649,
-0.933988,
-0.948762,
-0.996732,
-4.2378
],
[
5.94372,
1.4967,
0.574039,
0.284099,
1.1442e-08,
-0.13741,
-0.268398,
-0.392477,
-0.509093,
-0.617589,
-0.717088,
-0.806164,
-0.882137,
-0.941216,
-0.982663,
-1.01122,
-1.03231,
-1.04918,
-1.06351,
-1.07619,
-1.08773,
-1.09844,
-1.10852,
-1.11812,
-1.12733,
-1.14486,
-1.16151,
-1.21222,
-4.46014
],
[
6.03118,
1.60554,
0.629859,
0.314394,
1.26083e-08,
-0.154995,
-0.305897,
-0.452464,
-0.594433,
-0.731513,
-0.863373,
-0.989622,
-1.10976,
-1.22304,
-1.3282,
-1.42292,
-1.50365,
-1.56754,
-1.61511,
-1.65026,
-1.67735,
-1.69941,
-1.71822,
-1.7348,
-1.7498,
-1.77654,
-1.80036,
-1.866,
-5.12747
],
[
6.09094,
1.68839,
0.67116,
0.336429,
1.52904e-08,
-0.167558,
-0.332736,
-0.495434,
-0.655548,
-0.812967,
-0.96757,
-1.11923,
-1.2678,
-1.41314,
-1.55505,
-1.69335,
-1.82775,
-1.95791,
-2.08327,
-2.20292,
-2.31528,
-2.41799,
-2.50838,
-2.58465,
-2.64653,
-2.73456,
-2.79348,
-2.9102,
-6.2043
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.00133715,
"miller_cap_rise": 0.00211317,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.0133735
],
"index_3": [
0.0188028,
0.0309456,
0.0421393,
0.0537011,
0.0715296
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0401206
],
"index_3": [
0.0260776,
0.0512654,
0.0756079,
0.101434,
0.1412312
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0133735
],
"index_3": [
0.0890862,
0.1031795,
0.1139753,
0.1253628,
0.1430248
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0401206
],
"index_3": [
0.0979363,
0.1228965,
0.1470152,
0.1728119,
0.2127899
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00158653
],
"index_3": [
0.0956052,
0.1243162,
0.1535294,
0.1858158,
0.2448898
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0047596
],
"index_3": [
0.1028931,
0.144027,
0.1862735,
0.2313355,
0.3094057
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00158653
],
"index_3": [
0.1640164,
0.1928992,
0.2221598,
0.2545488,
0.3133786
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0047596
],
"index_3": [
0.171493,
0.2126856,
0.2551239,
0.2996942,
0.377466
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.09126
],
"index_2": [
1.65504
],
"index_3": [
0.0133735
],
"index_4": [
0.8381544,
0.9055337,
1.3284333,
1.4932571,
1.5863796
],
"values": [
1.21207,
0.979312,
0.82414,
0.979312,
1.21207
]
},
{
"index_1": [
1.16874
],
"index_2": [
0.952997
],
"index_3": [
0.0133735
],
"index_4": [
0.4856386,
0.5300424,
0.8089488,
0.9194396,
0.9930083
],
"values": [
1.20189,
0.963025,
0.803782,
0.963025,
1.20189
]
},
{
"index_1": [
1.23855
],
"index_2": [
0.637187
],
"index_3": [
0.0133735
],
"index_4": [
0.3262474,
0.359021,
0.5757203,
0.6516165,
0.7189818
],
"values": [
1.19426,
0.950808,
0.78851,
0.950808,
1.19426
]
},
{
"index_1": [
1.09126
],
"index_2": [
0.827521
],
"index_3": [
0.0133735
],
"index_4": [
0.4224165,
0.4580533,
0.6819915,
0.7668513,
0.8391664
],
"values": [
1.4317,
1.33072,
1.2634,
1.33072,
1.4317
]
},
{
"index_1": [
1.23855
],
"index_2": [
0.318593
],
"index_3": [
0.0133735
],
"index_4": [
0.1649878,
0.181863,
0.2800713,
0.3436987,
0.3973562
],
"values": [
1.42858,
1.32573,
1.25716,
1.32573,
1.42858
]
},
{
"index_1": [
1.16874
],
"index_2": [
0.952997
],
"index_3": [
0.0401206
],
"index_4": [
0.4835245,
0.5289443,
0.7801602,
0.9322725,
1.0521988
],
"values": [
1.40898,
1.29438,
1.21797,
1.29438,
1.40898
]
},
{
"index_1": [
1.23855
],
"index_2": [
0.637187
],
"index_3": [
0.0401206
],
"index_4": [
0.3246913,
0.3588298,
0.5297364,
0.6647828,
0.7793646
],
"values": [
1.4082,
1.29312,
1.2164,
1.29312,
1.4082
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.19292
],
"index_2": [
10.4461
],
"index_3": [
0.00158653
],
"index_4": [
5.3951419,
5.6578874,
6.5763086,
7.2514151,
7.4527557
],
"values": [
0.579068,
0.926508,
1.15814,
0.926508,
0.579068
]
},
{
"index_1": [
1.23881
],
"index_2": [
6.395
],
"index_3": [
0.00158653
],
"index_4": [
3.3265658,
3.5045241,
4.0944628,
4.5893029,
4.7183746
],
"values": [
0.590508,
0.944813,
1.18102,
0.944813,
0.590508
]
},
{
"index_1": [
1.28222
],
"index_2": [
4.27576
],
"index_3": [
0.00158653
],
"index_4": [
2.243593,
2.3762367,
2.8758451,
3.1532254,
3.2427117
],
"values": [
0.597028,
0.955245,
1.19406,
0.955245,
0.597028
]
},
{
"index_1": [
1.19292
],
"index_2": [
5.22306
],
"index_3": [
0.00158653
],
"index_4": [
2.8415337,
3.0486538,
3.4433444,
3.6669504,
3.7633653
],
"values": [
0.242635,
0.388216,
0.48527,
0.388216,
0.242635
]
},
{
"index_1": [
1.28222
],
"index_2": [
2.13788
],
"index_3": [
0.00158653
],
"index_4": [
1.2063475,
1.3083733,
1.4816843,
1.5927198,
1.6345679
],
"values": [
0.245951,
0.393521,
0.491901,
0.393521,
0.245951
]
},
{
"index_1": [
1.23881
],
"index_2": [
6.395
],
"index_3": [
0.0047596
],
"index_4": [
3.3490155,
3.5763588,
4.227415,
4.5944593,
4.7366989
],
"values": [
0.447522,
0.716034,
0.895043,
0.716034,
0.447522
]
},
{
"index_1": [
1.28222
],
"index_2": [
4.27576
],
"index_3": [
0.0047596
],
"index_4": [
2.2605135,
2.4302349,
2.8869933,
3.1582794,
3.2560542
],
"values": [
0.453554,
0.725687,
0.907108,
0.725687,
0.453554
]
}
]
},
"stage_type": "both"
},
"cell_fall,del_1_7_7": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5
],
"index_2": [
0.0005,
0.00151193,
0.00457186,
0.0138247,
0.0418038,
0.126409,
0.382243
],
"values": [
[
0.0210804,
0.0226285,
0.0270517,
0.0393267,
0.0739629,
0.1749604,
0.4771557
],
[
0.030312,
0.0318078,
0.0361304,
0.0483181,
0.0827039,
0.1837434,
0.4864176
],
[
0.0552095,
0.0570676,
0.0620273,
0.0741158,
0.1079208,
0.2084901,
0.5108734
],
[
0.1055885,
0.1088599,
0.1175047,
0.1378678,
0.1811659,
0.281175,
0.5816816
],
[
0.2025324,
0.208588,
0.2249821,
0.2644252,
0.3428595,
0.4861116,
0.7882212
],
[
0.3880887,
0.4001603,
0.4327115,
0.5090201,
0.6599902,
0.923327,
1.3606015
],
[
0.7031845,
0.7299019,
0.8009912,
0.964255,
1.269574,
1.7865064,
2.6042581
]
]
},
"cell_rise,del_1_7_7": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5
],
"index_2": [
0.0005,
0.00151193,
0.00457186,
0.0138247,
0.0418038,
0.126409,
0.382243
],
"values": [
[
0.1372691,
0.1480314,
0.179658,
0.2728858,
0.5529201,
1.3893418,
3.9171324
],
[
0.1437942,
0.1544008,
0.1868144,
0.2809804,
0.5612329,
1.3996169,
3.9243386
],
[
0.1716751,
0.1821713,
0.2134864,
0.3075661,
0.5898702,
1.4335988,
3.9635863
],
[
0.2556298,
0.2659103,
0.2968782,
0.3907034,
0.6688169,
1.5144334,
4.0436809
],
[
0.4729334,
0.4855067,
0.5207746,
0.6150506,
0.8933127,
1.7315228,
4.269054
],
[
0.9831593,
1.0026089,
1.0585305,
1.1972323,
1.5134756,
2.353036,
4.8840825
],
[
2.1769753,
2.2184228,
2.3249915,
2.580439,
3.0832075,
4.0998946,
6.6463048
]
]
},
"fall_transition,del_1_7_7": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5
],
"index_2": [
0.0005,
0.00151193,
0.00457186,
0.0138247,
0.0418038,
0.126409,
0.382243
],
"values": [
[
0.0145055,
0.0162214,
0.0212599,
0.0361351,
0.0806654,
0.2155533,
0.6236999
],
[
0.0138461,
0.0156011,
0.0207855,
0.0357222,
0.0803111,
0.2152146,
0.6246121
],
[
0.0188646,
0.0202273,
0.0239571,
0.0368245,
0.08021,
0.2148666,
0.6234182
],
[
0.0345644,
0.0370404,
0.0431596,
0.0587064,
0.0918996,
0.2151548,
0.6246449
],
[
0.0686014,
0.0730467,
0.0847152,
0.1102895,
0.1618585,
0.2601579,
0.6252396
],
[
0.1450358,
0.1534056,
0.1757539,
0.2260818,
0.3140852,
0.4671041,
0.7612336
],
[
0.3401186,
0.3584097,
0.4045896,
0.5003598,
0.6617549,
0.9073609,
1.3554707
]
]
},
"related_pin": "A",
"rise_transition,del_1_7_7": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5
],
"index_2": [
0.0005,
0.00151193,
0.00457186,
0.0138247,
0.0418038,
0.126409,
0.382243
],
"values": [
[
0.0813706,
0.0943173,
0.133737,
0.2531397,
0.6139932,
1.7028836,
4.9832769
],
[
0.0813906,
0.0945338,
0.1338192,
0.2539651,
0.611231,
1.7037659,
4.9733582
],
[
0.0816061,
0.0943373,
0.1343903,
0.2531228,
0.6166259,
1.6963179,
4.9958726
],
[
0.0815162,
0.09509,
0.1337147,
0.2541888,
0.6148689,
1.6998076,
4.977275
],
[
0.1009373,
0.1126902,
0.1473385,
0.2589045,
0.6138669,
1.7024767,
4.9872861
],
[
0.1677985,
0.182715,
0.2270021,
0.3425582,
0.6534272,
1.7042695,
4.961624
],
[
0.3498691,
0.3748371,
0.4434482,
0.5977628,
0.9483865,
1.8500353,
4.9921742
]
]
},
"timing_sense": "negative_unate",
"timing_type": "combinational"
},
{
"ccsn_first_stage": {
"dc_current,ccsn_dc": {
"index_1": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"index_2": [
-1.6,
-0.8,
-0.32,
-0.16,
0,
0.08,
0.16,
0.24,
0.32,
0.4,
0.48,
0.56,
0.64,
0.72,
0.8,
0.88,
0.96,
1.04,
1.12,
1.2,
1.28,
1.36,
1.44,
1.52,
1.6,
1.76,
1.92,
2.4,
3.2
],
"values": [
[
5.61193,
0.270774,
0.18298,
0.181571,
0.179073,
0.17744,
0.175584,
0.173526,
0.171277,
0.168842,
0.166216,
0.163384,
0.160311,
0.156939,
0.153161,
0.148749,
0.143162,
0.135389,
0.124551,
0.110484,
0.0934009,
0.0735782,
0.0512654,
0.0266783,
2.2987e-07,
-0.0583842,
-0.121899,
-0.342664,
-3.19734
],
[
5.58774,
0.246595,
0.15932,
0.158868,
0.158361,
0.158079,
0.157768,
0.157417,
0.157004,
0.156473,
0.155694,
0.15449,
0.152748,
0.150392,
0.147289,
0.143143,
0.137402,
0.129432,
0.118833,
0.105491,
0.089434,
0.0707475,
0.0495379,
0.0259179,
3.24917e-08,
-0.0576368,
-0.12152,
-0.348813,
-3.19896
],
[
5.56957,
0.222061,
0.131642,
0.130665,
0.129615,
0.129057,
0.128473,
0.127857,
0.127205,
0.126507,
0.125752,
0.124923,
0.12399,
0.122897,
0.121503,
0.119406,
0.11588,
0.110332,
0.102446,
0.0920321,
0.0789751,
0.0632246,
0.0447816,
0.023685,
-9.47142e-08,
-0.0541999,
-0.11607,
-0.343752,
-3.19875
],
[
5.56309,
0.220006,
0.111918,
0.11069,
0.109403,
0.108732,
0.108041,
0.107326,
0.106583,
0.105807,
0.10499,
0.104123,
0.103193,
0.102176,
0.101036,
0.0996896,
0.0978954,
0.0949571,
0.0898964,
0.0821679,
0.0715735,
0.0580416,
0.0415684,
0.0221965,
-1.63334e-07,
-0.0519427,
-0.112418,
-0.339302,
-3.19837
],
[
5.55503,
0.258719,
0.087281,
0.0859685,
0.0846151,
0.0839202,
0.0832111,
0.082486,
0.0817423,
0.0809771,
0.0801865,
0.0793654,
0.0785067,
0.0776007,
0.0766328,
0.0755793,
0.0743951,
0.0729644,
0.0708722,
0.0670035,
0.0602426,
0.0501848,
0.0367546,
0.0199928,
-2.82851e-08,
-0.0487241,
-0.107266,
-0.332822,
-3.19777
],
[
5.55087,
0.294731,
0.0739179,
0.0726157,
0.071282,
0.0706012,
0.0699094,
0.0692054,
0.0684872,
0.0677527,
0.0669991,
0.0662228,
0.065419,
0.0645811,
0.0636999,
0.0627612,
0.0617416,
0.0605934,
0.0591783,
0.0569445,
0.0525233,
0.0448009,
0.0334623,
0.018495,
-2.72229e-08,
-0.0466157,
-0.103942,
-0.328645,
-3.19738
],
[
5.54701,
0.339155,
0.0603031,
0.0590404,
0.0577579,
0.0571068,
0.0564478,
0.0557801,
0.0551024,
0.0544131,
0.0537104,
0.0529917,
0.0522539,
0.0514928,
0.0507025,
0.0498746,
0.0489961,
0.0480447,
0.0469709,
0.0456074,
0.0432141,
0.0381508,
0.0293633,
0.0166286,
-2.12973e-08,
-0.0440645,
-0.0999805,
-0.323724,
-3.1969
],
[
5.54375,
0.390599,
0.0468277,
0.0456091,
0.0444095,
0.0438037,
0.0431931,
0.0425772,
0.041955,
0.0413255,
0.0406877,
0.0400398,
0.0393799,
0.0387054,
0.0380128,
0.0372973,
0.0365517,
0.035765,
0.0349167,
0.0339569,
0.0326793,
0.0300837,
0.0242663,
0.0142842,
-2.8617e-08,
-0.0409518,
-0.0952375,
-0.317949,
-3.19633
],
[
5.54147,
0.448232,
0.0341232,
0.0327547,
0.0316731,
0.0311302,
0.0305855,
0.0300386,
0.0294891,
0.0289362,
0.0283794,
0.0278178,
0.0272502,
0.0266753,
0.026091,
0.025495,
0.0248835,
0.0242512,
0.0235893,
0.0228806,
0.0220765,
0.0209161,
0.0180437,
0.0113374,
-2.412e-08,
-0.0371127,
-0.0895223,
-0.311184,
-3.19567
],
[
5.54062,
0.511565,
0.0237163,
0.021037,
0.020114,
0.0196567,
0.0192004,
0.018745,
0.0182902,
0.0178359,
0.0173818,
0.0169274,
0.0164723,
0.0160158,
0.0155572,
0.0150952,
0.0146284,
0.0141545,
0.01367,
0.013169,
0.0126389,
0.012034,
0.0110119,
0.00773685,
-4.03544e-08,
-0.0323156,
-0.0825755,
-0.303266,
-3.19489
],
[
5.54178,
0.580395,
0.0198164,
0.0112785,
0.0105279,
0.0101876,
0.00985111,
0.00951853,
0.00918981,
0.00886493,
0.00854384,
0.00822645,
0.00791264,
0.00760226,
0.00729506,
0.00699074,
0.0066888,
0.00638858,
0.00608908,
0.00578862,
0.00548414,
0.00516767,
0.00479779,
0.00387327,
-3.47227e-07,
-0.0262426,
-0.0740347,
-0.293986,
-3.19397
],
[
5.54584,
0.654866,
0.0306536,
0.00483701,
0.00397377,
0.00378284,
0.00359809,
0.00341886,
0.00324508,
0.00307671,
0.0029137,
0.00275597,
0.00260345,
0.00245604,
0.00231361,
0.00217602,
0.00204307,
0.00191454,
0.00179013,
0.00166939,
0.00155165,
0.00143555,
0.00131651,
0.00114437,
-5.30809e-06,
-0.0185633,
-0.0633948,
-0.283084,
-3.19291
],
[
5.55383,
0.735115,
0.0612128,
0.00373356,
0.000983091,
0.000907696,
0.000845819,
0.00078732,
0.000731826,
0.000679175,
0.000629226,
0.000581847,
0.000536909,
0.000494286,
0.000453848,
0.000415474,
0.000379023,
0.00034438,
0.00031139,
0.000279909,
0.000249736,
0.000220592,
0.000191811,
0.000158444,
-7.40486e-05,
-0.00984649,
-0.0500971,
-0.270292,
-3.1918
],
[
5.56584,
0.819751,
0.107676,
0.0115392,
0.000194554,
4.18026e-05,
-3.05724e-06,
-4.41734e-05,
-8.41818e-05,
-0.000123531,
-0.000162386,
-0.000200837,
-0.000238944,
-0.000276755,
-0.000314308,
-0.000351641,
-0.000388784,
-0.000425766,
-0.000462621,
-0.000499385,
-0.000536092,
-0.000572802,
-0.000609641,
-0.000647367,
-0.000723537,
-0.00402646,
-0.0349899,
-0.255874,
-3.19163
],
[
5.58018,
0.906238,
0.163516,
0.0333392,
3.79774e-05,
-0.000899182,
-0.00110339,
-0.00128179,
-0.00145583,
-0.00162874,
-0.00180156,
-0.0019747,
-0.00214838,
-0.00232271,
-0.00249773,
-0.0026735,
-0.00285002,
-0.00302731,
-0.00320536,
-0.00338419,
-0.00356381,
-0.00374422,
-0.00392548,
-0.00410775,
-0.00429807,
-0.00536808,
-0.0241801,
-0.242466,
-3.19681
],
[
5.59552,
0.992991,
0.223395,
0.0666781,
6.84535e-06,
-0.00477724,
-0.00572167,
-0.0064149,
-0.00706498,
-0.00769933,
-0.00832631,
-0.00894946,
-0.00957056,
-0.0101906,
-0.0108102,
-0.0114296,
-0.0120492,
-0.0126692,
-0.0132895,
-0.0139103,
-0.0145318,
-0.0151539,
-0.0157768,
-0.0164007,
-0.0170268,
-0.0184141,
-0.0278004,
-0.237099,
-3.21705
],
[
5.61146,
1.07905,
0.282665,
0.102673,
9.04623e-07,
-0.0166248,
-0.0210318,
-0.0230856,
-0.0247807,
-0.0263559,
-0.0278726,
-0.0293552,
-0.0308156,
-0.0322603,
-0.0336935,
-0.0351176,
-0.0365346,
-0.0379456,
-0.0393515,
-0.0407531,
-0.042151,
-0.0435456,
-0.0449375,
-0.0463274,
-0.0477158,
-0.0505176,
-0.0557272,
-0.248327,
-3.26141
],
[
5.62792,
1.1635,
0.337975,
0.136233,
7.62991e-08,
-0.0349791,
-0.050504,
-0.0562529,
-0.0598222,
-0.0628026,
-0.0655324,
-0.068126,
-0.0706348,
-0.0730857,
-0.0754945,
-0.0778712,
-0.0802227,
-0.0825534,
-0.0848669,
-0.0871658,
-0.089452,
-0.0917273,
-0.0939931,
-0.096251,
-0.0985024,
-0.102998,
-0.108003,
-0.277976,
-3.32748
],
[
5.64486,
1.24532,
0.388061,
0.166296,
1.00911e-08,
-0.0539254,
-0.0866834,
-0.101844,
-0.109123,
-0.114188,
-0.118453,
-0.12233,
-0.125982,
-0.129487,
-0.13289,
-0.136219,
-0.139489,
-0.142713,
-0.1459,
-0.149056,
-0.152186,
-0.155293,
-0.158381,
-0.161452,
-0.16451,
-0.170599,
-0.176774,
-0.322588,
-3.40722
],
[
5.66223,
1.32337,
0.432985,
0.192984,
6.58523e-09,
-0.0711671,
-0.121808,
-0.152153,
-0.167108,
-0.175644,
-0.182,
-0.187418,
-0.192335,
-0.196947,
-0.201354,
-0.205615,
-0.209767,
-0.213834,
-0.217835,
-0.221779,
-0.225678,
-0.229539,
-0.233366,
-0.237166,
-0.240943,
-0.248446,
-0.255933,
-0.378708,
-3.49527
],
[
5.67997,
1.39657,
0.473218,
0.216653,
6.44406e-09,
-0.0863927,
-0.153512,
-0.200687,
-0.228394,
-0.243114,
-0.252598,
-0.260011,
-0.266415,
-0.272242,
-0.2777,
-0.282904,
-0.287923,
-0.292802,
-0.297571,
-0.302253,
-0.306861,
-0.31141,
-0.315908,
-0.320363,
-0.324782,
-0.333539,
-0.342234,
-0.444251,
-3.58892
],
[
5.69795,
1.46415,
0.509285,
0.237677,
6.4776e-09,
-0.0997724,
-0.181646,
-0.244757,
-0.288045,
-0.312995,
-0.327478,
-0.337668,
-0.34593,
-0.353164,
-0.359773,
-0.365969,
-0.371871,
-0.377557,
-0.383076,
-0.388463,
-0.393743,
-0.398936,
-0.404054,
-0.409111,
-0.414116,
-0.424004,
-0.433788,
-0.517941,
-3.68671
],
[
5.716,
1.52582,
0.541665,
0.256391,
6.55297e-09,
-0.111548,
-0.20654,
-0.284087,
-0.342935,
-0.381691,
-0.404135,
-0.418414,
-0.429132,
-0.438077,
-0.446005,
-0.453285,
-0.460121,
-0.466636,
-0.472909,
-0.478992,
-0.484925,
-0.490735,
-0.496443,
-0.502066,
-0.507616,
-0.518549,
-0.529326,
-0.598852,
-3.78769
],
[
5.7339,
1.58168,
0.570783,
0.273089,
6.68216e-09,
-0.121945,
-0.228589,
-0.31907,
-0.392238,
-0.446077,
-0.479988,
-0.500441,
-0.51456,
-0.52568,
-0.535178,
-0.543687,
-0.551542,
-0.558935,
-0.565987,
-0.572777,
-0.579361,
-0.585779,
-0.59206,
-0.598227,
-0.604298,
-0.616215,
-0.627916,
-0.686224,
-3.89116
],
[
5.75136,
1.63205,
0.597006,
0.288023,
6.88898e-09,
-0.131155,
-0.248162,
-0.350203,
-0.436255,
-0.504528,
-0.552389,
-0.58188,
-0.600863,
-0.614858,
-0.626291,
-0.636239,
-0.645239,
-0.653589,
-0.661468,
-0.668992,
-0.676241,
-0.683271,
-0.690121,
-0.696823,
-0.703402,
-0.716264,
-0.728841,
-0.779381,
-3.9966
],
[
5.78409,
1.71819,
0.642008,
0.313423,
7.66093e-09,
-0.146635,
-0.281119,
-0.40274,
-0.510675,
-0.603736,
-0.679607,
-0.734826,
-0.770437,
-0.793806,
-0.810943,
-0.824771,
-0.836653,
-0.847286,
-0.857057,
-0.866206,
-0.874885,
-0.883199,
-0.891221,
-0.899006,
-0.906595,
-0.921307,
-0.935557,
-0.980135,
-4.21173
],
[
5.81302,
1.78811,
0.678768,
0.333964,
9.1748e-09,
-0.158991,
-0.307466,
-0.444814,
-0.570358,
-0.683246,
-0.782083,
-0.864051,
-0.925199,
-0.966048,
-0.993421,
-1.01352,
-1.02963,
-1.04336,
-1.05555,
-1.06667,
-1.07702,
-1.08678,
-1.09608,
-1.10502,
-1.11367,
-1.13027,
-1.14617,
-1.19276,
-4.4305
],
[
5.8746,
1.93068,
0.753872,
0.375386,
2.22462e-08,
-0.183531,
-0.359902,
-0.528737,
-0.689633,
-0.842148,
-0.985766,
-1.1198,
-1.24315,
-1.35367,
-1.44753,
-1.52075,
-1.57344,
-1.61082,
-1.63878,
-1.66112,
-1.67994,
-1.69642,
-1.71126,
-1.7249,
-1.73762,
-1.76104,
-1.78256,
-1.84146,
-5.09175
],
[
5.92037,
2.03831,
0.810655,
0.406346,
9.98319e-08,
-0.201762,
-0.399207,
-0.592151,
-0.780405,
-0.963766,
-1.14202,
-1.31493,
-1.48225,
-1.64367,
-1.7988,
-1.94711,
-2.08765,
-2.21878,
-2.33768,
-2.44083,
-2.52553,
-2.59156,
-2.64187,
-2.68087,
-2.71233,
-2.76173,
-2.8008,
-2.89122,
-6.16414
]
]
},
"is_inverting": "true",
"is_needed": "true",
"miller_cap_fall": 0.00390403,
"miller_cap_rise": 0.00266987,
"output_voltage_fall": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.0131888
],
"index_3": [
0.0196776,
0.028532,
0.0378373,
0.0481246,
0.0634693
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0395664
],
"index_3": [
0.0258788,
0.0472179,
0.0696367,
0.09427,
0.1302657
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0131888
],
"index_3": [
0.0887724,
0.1002808,
0.1095908,
0.1198345,
0.1353152
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0395664
],
"index_3": [
0.0977449,
0.1194712,
0.1419963,
0.1668768,
0.2032217
],
"values": [
1.44,
1.12,
0.8,
0.48,
0.16
]
}
]
},
"output_voltage_rise": {
"vector,ccsn_ovrf": [
{
"index_1": [
0.01
],
"index_2": [
0.00158653
],
"index_3": [
0.0643083,
0.0930151,
0.1223863,
0.1535658,
0.2135739
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.01
],
"index_2": [
0.0047596
],
"index_3": [
0.0724565,
0.1134809,
0.1559152,
0.200705,
0.2783299
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.00158653
],
"index_3": [
0.1249964,
0.1533002,
0.1825075,
0.2135563,
0.2735187
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
},
{
"index_1": [
0.1
],
"index_2": [
0.0047596
],
"index_3": [
0.1327583,
0.1736459,
0.2159662,
0.2610116,
0.3391709
],
"values": [
0.16,
0.48,
0.8,
1.12,
1.44
]
}
]
},
"propagated_noise_high": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.09112
],
"index_2": [
1.44492
],
"index_3": [
0.0131888
],
"index_4": [
0.7289955,
0.7881532,
1.0504936,
1.2956571,
1.4013746
],
"values": [
1.15261,
0.884181,
0.705227,
0.884181,
1.15261
]
},
{
"index_1": [
1.16868
],
"index_2": [
0.831984
],
"index_3": [
0.0131888
],
"index_4": [
0.4235367,
0.4637866,
0.6705029,
0.7936656,
0.8705919
],
"values": [
1.14421,
0.870731,
0.688414,
0.870731,
1.14421
]
},
{
"index_1": [
1.23857
],
"index_2": [
0.556289
],
"index_3": [
0.0131888
],
"index_4": [
0.2854252,
0.3161005,
0.4600919,
0.5576043,
0.6290322
],
"values": [
1.13616,
0.857855,
0.672319,
0.857855,
1.13616
]
},
{
"index_1": [
1.09112
],
"index_2": [
0.72246
],
"index_3": [
0.0131888
],
"index_4": [
0.3762022,
0.4127995,
0.5763636,
0.6665323,
0.7371242
],
"values": [
1.37559,
1.24094,
1.15118,
1.24094,
1.37559
]
},
{
"index_1": [
1.23857
],
"index_2": [
0.278144
],
"index_3": [
0.0131888
],
"index_4": [
0.1500746,
0.1693807,
0.2392418,
0.2913915,
0.3455753
],
"values": [
1.37423,
1.23876,
1.14845,
1.23876,
1.37423
]
},
{
"index_1": [
1.16868
],
"index_2": [
0.831984
],
"index_3": [
0.0395664
],
"index_4": [
0.4270394,
0.4693293,
0.6741972,
0.8152223,
0.933798
],
"values": [
1.39906,
1.2785,
1.19812,
1.2785,
1.39906
]
},
{
"index_1": [
1.23857
],
"index_2": [
0.556289
],
"index_3": [
0.0395664
],
"index_4": [
0.2876456,
0.3197006,
0.4629312,
0.5762647,
0.6916921
],
"values": [
1.39639,
1.27423,
1.19278,
1.27423,
1.39639
]
}
]
},
"propagated_noise_low": {
"vector,ccsn_pnlh": [
{
"index_1": [
1.17337
],
"index_2": [
7.0702
],
"index_3": [
0.00158653
],
"index_4": [
3.5603904,
3.7770671,
4.4828356,
4.8871679,
5.0461122
],
"values": [
0.498788,
0.798061,
0.997576,
0.798061,
0.498788
]
},
{
"index_1": [
1.23648
],
"index_2": [
4.05924
],
"index_3": [
0.00158653
],
"index_4": [
2.0562096,
2.20647,
2.6647278,
2.926919,
3.0226537
],
"values": [
0.530263,
0.848422,
1.06053,
0.848422,
0.530263
]
},
{
"index_1": [
1.29365
],
"index_2": [
2.69346
],
"index_3": [
0.00158653
],
"index_4": [
1.3729782,
1.4884183,
1.8247662,
2.0048305,
2.0717076
],
"values": [
0.551122,
0.881794,
1.10224,
0.881794,
0.551122
]
},
{
"index_1": [
1.17337
],
"index_2": [
3.5351
],
"index_3": [
0.00158653
],
"index_4": [
1.8202157,
1.9542842,
2.2912401,
2.4914536,
2.5651347
],
"values": [
0.272824,
0.436519,
0.545648,
0.436519,
0.272824
]
},
{
"index_1": [
1.29365
],
"index_2": [
1.34673
],
"index_3": [
0.00158653
],
"index_4": [
0.7124566,
0.7865331,
0.9522008,
1.0203681,
1.0524889
],
"values": [
0.291459,
0.466335,
0.582919,
0.466335,
0.291459
]
},
{
"index_1": [
1.23648
],
"index_2": [
4.05924
],
"index_3": [
0.0047596
],
"index_4": [
2.06212,
2.2166838,
2.6961852,
2.9481963,
3.0448386
],
"values": [
0.383952,
0.614324,
0.767905,
0.614324,
0.383952
]
},
{
"index_1": [
1.29365
],
"index_2": [
2.69346
],
"index_3": [
0.0047596
],
"index_4": [
1.3763375,
1.4952715,
1.8580039,
2.0202251,
2.0868503
],
"values": [
0.398198,
0.637116,
0.796395,
0.637116,
0.398198
]
}
]
},
"stage_type": "both"
},
"cell_fall,del_1_7_7": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5
],
"index_2": [
0.0005,
0.00151193,
0.00457186,
0.0138247,
0.0418038,
0.126409,
0.382243
],
"values": [
[
0.0187208,
0.0201184,
0.0240346,
0.0353364,
0.0689562,
0.1702812,
0.4805372
],
[
0.0273847,
0.0288364,
0.0329482,
0.0443802,
0.0780687,
0.1792292,
0.4869667
],
[
0.0488397,
0.0508601,
0.0573072,
0.0700922,
0.1039583,
0.2045611,
0.511345
],
[
0.0888062,
0.0938788,
0.1051416,
0.1294927,
0.175256,
0.2762786,
0.580071
],
[
0.1667437,
0.1756212,
0.1971076,
0.2436691,
0.3333721,
0.4812344,
0.7877808
],
[
0.3049369,
0.3229269,
0.3635085,
0.4667853,
0.6311089,
0.9114419,
1.3601935
],
[
0.5036871,
0.5478188,
0.653143,
0.8612517,
1.2170013,
1.7628357,
2.6035223
]
]
},
"cell_rise,del_1_7_7": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5
],
"index_2": [
0.0005,
0.00151193,
0.00457186,
0.0138247,
0.0418038,
0.126409,
0.382243
],
"values": [
[
0.1042294,
0.11529,
0.1481906,
0.2429615,
0.5209584,
1.3643613,
3.8932502
],
[
0.1068601,
0.1181758,
0.1506905,
0.2461706,
0.5266841,
1.3705279,
3.9010399
],
[
0.1300794,
0.1409062,
0.1725456,
0.2668503,
0.5510374,
1.3899749,
3.9203765
],
[
0.2066215,
0.2167543,
0.2466622,
0.3423891,
0.6222301,
1.4622537,
3.9940122
],
[
0.4065093,
0.4232867,
0.4658127,
0.5617329,
0.8450164,
1.6821545,
4.2266355
],
[
0.8574564,
0.8873537,
0.965055,
1.1406979,
1.4821679,
2.3166218,
4.8416391
],
[
1.8728794,
1.9319102,
2.0850648,
2.4194107,
3.0375793,
4.1163837,
6.6437411
]
]
},
"fall_transition,del_1_7_7": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5
],
"index_2": [
0.0005,
0.00151193,
0.00457186,
0.0138247,
0.0418038,
0.126409,
0.382243
],
"values": [
[
0.0098041,
0.0113965,
0.0162565,
0.0311136,
0.075976,
0.2107991,
0.6228196
],
[
0.0099463,
0.0113921,
0.0164117,
0.0310466,
0.0756503,
0.2107982,
0.6232023
],
[
0.0169243,
0.0184438,
0.022217,
0.0335435,
0.0760272,
0.2131341,
0.6192947
],
[
0.0346041,
0.0363895,
0.0427072,
0.0575754,
0.090612,
0.2112411,
0.617835
],
[
0.0745872,
0.0790395,
0.0896022,
0.1147425,
0.1625955,
0.2600697,
0.6203844
],
[
0.1766632,
0.1852459,
0.2116886,
0.2418324,
0.3285247,
0.4752067,
0.7540114
],
[
0.4486445,
0.4605507,
0.4933152,
0.5681874,
0.6994317,
0.9276449,
1.3577458
]
]
},
"related_pin": "B",
"rise_transition,del_1_7_7": {
"index_1": [
0.01,
0.0281727,
0.0793701,
0.223607,
0.629961,
1.77477,
5
],
"index_2": [
0.0005,
0.00151193,
0.00457186,
0.0138247,
0.0418038,
0.126409,
0.382243
],
"values": [
[
0.0819051,
0.0948454,
0.1340331,
0.2532291,
0.6146386,
1.7020985,
4.9854205
],
[
0.0811931,
0.0946595,
0.133623,
0.2530166,
0.6143819,
1.7035837,
4.9850475
],
[
0.0820976,
0.0940095,
0.1336462,
0.253737,
0.6118706,
1.6964284,
4.9819873
],
[
0.0825871,
0.0952921,
0.1330971,
0.2535412,
0.6116062,
1.7010237,
4.9759734
],
[
0.1378851,
0.148493,
0.1779201,
0.2714808,
0.6139471,
1.705211,
4.9859632
],
[
0.2698134,
0.2843636,
0.3296618,
0.4319687,
0.6914327,
1.7009985,
4.9826081
],
[
0.5739951,
0.6052763,
0.6795758,
0.844588,
1.1901814,
1.9420494,
4.9829305
]
]
},
"timing_sense": "negative_unate",
"timing_type": "combinational"
}
]
}
}