blob: 779fa082ed04b90f76265a329ecbd77610304a8b [file] [log] [blame]
{
"description": "Delay latch, non-inverted enable, single output.",
"file_prefix": "sky130_fd_sc_hd__dlxtp",
"library": "sky130_fd_sc_hd",
"name": "dlxtp",
"parameters": [],
"ports": [
[
"signal",
"Q",
"output",
""
],
[
"signal",
"D",
"input",
""
],
[
"signal",
"GATE",
"input",
""
],
[
"power",
"VPWR",
"input",
"supply1"
],
[
"power",
"VGND",
"input",
"supply0"
],
[
"power",
"VPB",
"input",
"supply1"
],
[
"power",
"VNB",
"input",
"supply0"
]
],
"type": "cell",
"verilog_name": "sky130_fd_sc_hd__dlxtp"
}