blob: c2b286a5412bf9c8dfa73e4b685e90995ad58ec2 [file] [log] [blame]
# Copyright 2020 The SkyWater PDK Authors
#
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
# https://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
# See the License for the specific language governing permissions and
# limitations under the License.
#
# SPDX-License-Identifier: Apache-2.0
VERSION 5.7 ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
UNITS
DATABASE MICRONS 200 ;
END UNITS
MACRO sky130_fd_sc_hd__o31ai_1
CLASS CORE ;
FOREIGN sky130_fd_sc_hd__o31ai_1 ;
ORIGIN 0.000000 0.000000 ;
SIZE 2.760000 BY 2.720000 ;
SYMMETRY X Y R90 ;
SITE unithd ;
PIN A1
ANTENNAGATEAREA 0.247500 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 0.090000 1.075000 0.440000 1.325000 ;
END
END A1
PIN A2
ANTENNAGATEAREA 0.247500 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 0.610000 1.075000 1.055000 2.465000 ;
END
END A2
PIN A3
ANTENNAGATEAREA 0.247500 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 1.225000 1.075000 1.700000 1.325000 ;
RECT 1.460000 1.325000 1.700000 2.405000 ;
END
END A3
PIN B1
ANTENNAGATEAREA 0.247500 ;
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 2.330000 0.995000 2.675000 1.325000 ;
END
END B1
PIN Y
ANTENNADIFFAREA 1.006000 ;
DIRECTION OUTPUT ;
USE SIGNAL ;
PORT
LAYER li1 ;
RECT 1.945000 0.260000 2.675000 0.825000 ;
RECT 1.945000 0.825000 2.160000 2.465000 ;
END
END Y
PIN VGND
DIRECTION INOUT ;
SHAPE ABUTMENT ;
USE GROUND ;
PORT
LAYER met1 ;
RECT 0.000000 -0.240000 2.760000 0.240000 ;
END
END VGND
PIN VPWR
DIRECTION INOUT ;
SHAPE ABUTMENT ;
USE POWER ;
PORT
LAYER met1 ;
RECT 0.000000 2.480000 2.760000 2.960000 ;
END
END VPWR
OBS
LAYER li1 ;
RECT 0.000000 -0.085000 2.760000 0.085000 ;
RECT 0.000000 2.635000 2.760000 2.805000 ;
RECT 0.090000 1.495000 0.440000 2.635000 ;
RECT 0.175000 0.085000 0.345000 0.905000 ;
RECT 0.515000 0.255000 0.845000 0.735000 ;
RECT 0.515000 0.735000 1.700000 0.905000 ;
RECT 1.015000 0.085000 1.185000 0.565000 ;
RECT 1.370000 0.255000 1.700000 0.735000 ;
RECT 2.330000 1.495000 2.675000 2.635000 ;
LAYER mcon ;
RECT 0.145000 -0.085000 0.315000 0.085000 ;
RECT 0.145000 2.635000 0.315000 2.805000 ;
RECT 0.605000 -0.085000 0.775000 0.085000 ;
RECT 0.605000 2.635000 0.775000 2.805000 ;
RECT 1.065000 -0.085000 1.235000 0.085000 ;
RECT 1.065000 2.635000 1.235000 2.805000 ;
RECT 1.525000 -0.085000 1.695000 0.085000 ;
RECT 1.525000 2.635000 1.695000 2.805000 ;
RECT 1.985000 -0.085000 2.155000 0.085000 ;
RECT 1.985000 2.635000 2.155000 2.805000 ;
RECT 2.445000 -0.085000 2.615000 0.085000 ;
RECT 2.445000 2.635000 2.615000 2.805000 ;
END
END sky130_fd_sc_hd__o31ai_1
END LIBRARY