blob: e10ffb222a056e94285eb6e61d6cdb6b42371071 [file] [log] [blame]
/*
* Copyright 2022 GlobalFoundries PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*/
cell(gf180mcu_fd_sc_mcu7t5v0__dffsnq_2) {
area : 83.417600 ;
ff(IQ1,IQN1) {
clocked_on : "CLK" ;
next_state : "D" ;
preset : "(!SETN)" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&!SETN" ;
value : "0.0002223144" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&!D&SETN" ;
value : "0.000317808" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&!SETN" ;
value : "0.0002233872" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLK&D&SETN" ;
value : "0.0003123936" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&!SETN" ;
value : "0.0002414088" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&!D&SETN" ;
value : "0.000290574" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&!SETN" ;
value : "0.0002425104" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLK&D&SETN" ;
value : "0.0003271428" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.0003271428" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLK) {
capacitance : 0.003278 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 5.2 ;
min_period : 0.848 ;
min_pulse_width_high : 0.401 ;
min_pulse_width_low : 0.379 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D&!SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.2223, 0.222, 0.2246, 0.237, 0.261, 0.2973, 0.3468, 0.4095, 0.4861, \
0.577");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1501, 0.1498, 0.1517, 0.1611, 0.1792, 0.2081, 0.2483, 0.2999, \
0.3637, 0.4402");
}
}
internal_power() {
when : "!D&SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1503, 0.15, 0.1524, 0.1634, 0.1846, 0.2175, 0.2629, 0.3212, \
0.3931, 0.4792");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1174, 0.1171, 0.1188, 0.1281, 0.1458, 0.1743, 0.214, 0.2655, \
0.3289, 0.4051");
}
}
internal_power() {
when : "D&!SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1497, 0.1494, 0.1518, 0.1627, 0.184, 0.2169, 0.2624, 0.3207, \
0.3927, 0.4785");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1206, 0.1203, 0.122, 0.1312, 0.1489, 0.1775, 0.217, 0.2684, \
0.3318, 0.4078");
}
}
internal_power() {
when : "D&SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1497, 0.1494, 0.1518, 0.1627, 0.1841, 0.217, 0.2624, 0.3207, \
0.3926, 0.4785");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1205, 0.1202, 0.1219, 0.1311, 0.1489, 0.1773, 0.2171, 0.2684, \
0.3318, 0.4079");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SETN === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&SETN" ;
fall_constraint(scalar) {
values("0.379");
}
rise_constraint(scalar) {
values("0.401");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SETN === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&SETN" ;
fall_constraint(scalar) {
values("0.324");
}
rise_constraint(scalar) {
values("0.389");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_NOT_D_AND_SETN === 1'b1" ;
timing_type : minimum_period ;
when : "!D&SETN" ;
rise_constraint(scalar) {
values("0.848");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_D_AND_SETN === 1'b1" ;
timing_type : minimum_period ;
when : "D&SETN" ;
rise_constraint(scalar) {
values("0.805");
}
}
}
pin(D) {
capacitance : 0.002641 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 5.2 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.0768, 0.07675, 0.079, 0.08629, 0.1, 0.1213, 0.1511, 0.1899, \
0.2383, 0.2966");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.02906, 0.0291, 0.03101, 0.03691, 0.04814, 0.0658, 0.09071, 0.1235, \
0.1645, 0.2141");
}
}
internal_power() {
when : "!CLK&SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.11, 0.11, 0.1123, 0.1205, 0.1365, 0.1611, 0.1952, 0.2391, 0.2933, \
0.3583");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.09293, 0.09296, 0.09496, 0.1018, 0.1145, 0.1346, 0.1627, 0.1993, \
0.2446, 0.2994");
}
}
internal_power() {
when : "CLK&!SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.02957, 0.02953, 0.03319, 0.04278, 0.05926, 0.08324, 0.1154, \
0.1562, 0.2062, 0.2662");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.002564, 0.002586, 0.005, 0.01258, 0.02636, 0.04663, 0.07401, \
0.109, 0.1519, 0.2033");
}
}
internal_power() {
when : "CLK&SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.02954, 0.02954, 0.0332, 0.04281, 0.05926, 0.08326, 0.1154, 0.1562, \
0.2063, 0.2662");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.002572, 0.002575, 0.00501, 0.01261, 0.02631, 0.0466, 0.07402, \
0.109, 0.1519, 0.2033");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_SETN === 1'b1" ;
timing_type : hold_rising ;
when : "SETN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.023, 0.027, 0.056, 0.096, 0.13, 0.16, 0.174, 0.176, 0.168, 0.129",\
"0.012, 0.022, 0.05, 0.092, 0.123, 0.147, 0.164, 0.169, 0.158, 0.122",\
"-0.022, -0.022, 0.006, 0.054, 0.085, 0.111, 0.127, 0.13, 0.115, 0.086",\
"-0.096, -0.092, -0.063, -0.021, 0.016, 0.041, 0.059, 0.062, 0.045, 0.016",\
"-0.191, -0.185, -0.161, -0.114, -0.079, -0.054, -0.041, -0.04, -0.05, -0.073",\
"-0.311, -0.3, -0.277, -0.239, -0.203, -0.177, -0.159, -0.159, -0.173, -0.197",\
"-0.447, -0.441, -0.414, -0.381, -0.347, -0.32, -0.311, -0.31, -0.315, -0.349",\
"-0.605, -0.595, -0.574, -0.538, -0.514, -0.489, -0.48, -0.479, -0.495, -0.519",\
"-0.771, -0.775, -0.752, -0.722, -0.7, -0.68, -0.674, -0.673, -0.686, -0.723",\
"-0.978, -0.97, -0.947, -0.92, -0.911, -0.892, -0.894, -0.898, -0.913, -0.95");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.034, -0.024, -0.005, 0.016, 0.029, 0.032, 0.029, 0.005, -0.02, -0.07",\
"-0.039, -0.035, -0.016, 0.009, 0.023, 0.031, 0.022, 0.004, -0.032, -0.078",\
"-0.057, -0.05, -0.036, -0.011, 0.003, 0.012, -0, -0.018, -0.049, -0.1",\
"-0.083, -0.071, -0.055, -0.032, -0.019, -0.015, -0.017, -0.038, -0.074, -0.122",\
"-0.09, -0.081, -0.062, -0.038, -0.027, -0.02, -0.027, -0.049, -0.085, -0.132",\
"-0.078, -0.07, -0.054, -0.032, -0.019, -0.014, -0.024, -0.049, -0.089, -0.142",\
"-0.044, -0.038, -0.017, -0.002, 0.012, 0.008, -0.005, -0.032, -0.068, -0.122",\
"0.017, 0.02, 0.041, 0.055, 0.058, 0.055, 0.039, 0.015, -0.031, -0.089",\
"0.1, 0.103, 0.121, 0.133, 0.137, 0.128, 0.112, 0.082, 0.039, -0.029",\
"0.217, 0.221, 0.231, 0.238, 0.241, 0.228, 0.209, 0.177, 0.123, 0.062");
}
}
timing() {
related_pin : "CLK" ;
sdf_cond : "ENABLE_SETN === 1'b1" ;
timing_type : setup_rising ;
when : "SETN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.206, 0.197, 0.18, 0.149, 0.122, 0.103, 0.117, 0.136, 0.248, 0.462",\
"0.219, 0.205, 0.186, 0.158, 0.134, 0.115, 0.121, 0.14, 0.272, 0.48",\
"0.249, 0.248, 0.223, 0.189, 0.171, 0.153, 0.161, 0.174, 0.281, 0.529",\
"0.334, 0.329, 0.306, 0.275, 0.247, 0.231, 0.238, 0.255, 0.334, 0.583",\
"0.444, 0.436, 0.416, 0.384, 0.356, 0.343, 0.336, 0.353, 0.407, 0.645",\
"0.589, 0.585, 0.558, 0.53, 0.498, 0.476, 0.479, 0.489, 0.529, 0.666",\
"0.76, 0.753, 0.73, 0.697, 0.671, 0.649, 0.647, 0.654, 0.686, 0.769",\
"0.96, 0.955, 0.925, 0.897, 0.863, 0.844, 0.843, 0.847, 0.878, 0.949",\
"1.196, 1.185, 1.153, 1.118, 1.092, 1.075, 1.068, 1.072, 1.107, 1.153",\
"1.447, 1.436, 1.409, 1.375, 1.35, 1.329, 1.323, 1.329, 1.353, 1.406");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.218, 0.204, 0.18, 0.129, 0.097, 0.08, 0.079, 0.091, 0.129, 0.172",\
"0.225, 0.219, 0.186, 0.144, 0.102, 0.084, 0.083, 0.102, 0.133, 0.182",\
"0.249, 0.238, 0.206, 0.165, 0.124, 0.112, 0.104, 0.119, 0.152, 0.198",\
"0.281, 0.266, 0.241, 0.186, 0.15, 0.137, 0.13, 0.147, 0.177, 0.225",\
"0.297, 0.285, 0.259, 0.21, 0.173, 0.148, 0.142, 0.159, 0.19, 0.241",\
"0.303, 0.293, 0.268, 0.21, 0.175, 0.149, 0.151, 0.159, 0.193, 0.244",\
"0.287, 0.279, 0.248, 0.204, 0.16, 0.136, 0.131, 0.148, 0.185, 0.236",\
"0.257, 0.243, 0.216, 0.171, 0.126, 0.102, 0.095, 0.113, 0.148, 0.206",\
"0.198, 0.188, 0.156, 0.11, 0.068, 0.04, 0.034, 0.052, 0.086, 0.14",\
"0.11, 0.096, 0.073, 0.023, -0.015, -0.046, -0.052, -0.03, 0.004, 0.056");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 0.7104 ;
max_transition : 5.2 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLK" ;
when : "SETN" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.2493, 0.2425, 0.2335, 0.2288, 0.2263, 0.2249, 0.224, 0.2235, 0.2231, 0.2229",\
"0.2493, 0.2425, 0.2335, 0.2288, 0.2263, 0.2249, 0.224, 0.2234, 0.2231, 0.2229",\
"0.2495, 0.2427, 0.2338, 0.2291, 0.2265, 0.2251, 0.2242, 0.2237, 0.2234, 0.2232",\
"0.2502, 0.2433, 0.2343, 0.2297, 0.2271, 0.2257, 0.2249, 0.2243, 0.224, 0.2238",\
"0.2514, 0.2446, 0.2356, 0.2309, 0.2285, 0.227, 0.2262, 0.2256, 0.2253, 0.225",\
"0.2527, 0.2458, 0.2368, 0.2322, 0.2297, 0.2282, 0.2273, 0.2268, 0.2264, 0.2262",\
"0.254, 0.2472, 0.2381, 0.2334, 0.231, 0.2296, 0.2287, 0.2282, 0.2278, 0.2276",\
"0.2554, 0.2486, 0.2395, 0.2349, 0.2323, 0.2309, 0.2301, 0.2295, 0.2291, 0.2289",\
"0.2571, 0.2503, 0.2413, 0.2366, 0.2342, 0.2328, 0.2319, 0.2313, 0.231, 0.2307",\
"0.2591, 0.2522, 0.2432, 0.2384, 0.236, 0.2346, 0.2336, 0.2332, 0.2328, 0.2325");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.2642, 0.26, 0.2551, 0.2527, 0.2524, 0.2529, 0.2545, 0.2553, 0.2565, 0.2585",\
"0.2642, 0.26, 0.2552, 0.2528, 0.2525, 0.2529, 0.2541, 0.2556, 0.2578, 0.2585",\
"0.2643, 0.2604, 0.2548, 0.2527, 0.2521, 0.2525, 0.2549, 0.2561, 0.2572, 0.2582",\
"0.265, 0.2607, 0.2556, 0.2534, 0.2531, 0.2534, 0.2555, 0.2563, 0.2574, 0.2606",\
"0.2662, 0.2626, 0.2571, 0.2547, 0.2541, 0.2542, 0.2568, 0.2572, 0.2587, 0.2619",\
"0.2677, 0.2632, 0.2587, 0.257, 0.2558, 0.2561, 0.2575, 0.2594, 0.2612, 0.2622",\
"0.2701, 0.2658, 0.261, 0.2583, 0.2589, 0.26, 0.261, 0.2618, 0.2618, 0.2644",\
"0.273, 0.2693, 0.2636, 0.2611, 0.2599, 0.2613, 0.264, 0.2659, 0.2655, 0.2674",\
"0.2768, 0.2725, 0.2673, 0.2654, 0.2643, 0.2645, 0.2672, 0.2698, 0.2717, 0.2707",\
"0.2809, 0.2771, 0.2718, 0.269, 0.2684, 0.2683, 0.2692, 0.2729, 0.2769, 0.2784");
}
}
internal_power() {
related_pin : "SETN" ;
when : "!CLK&!D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.2502, 0.2469, 0.2416, 0.2399, 0.2387, 0.2398, 0.2415, 0.2427, 0.2445, 0.2459",\
"0.2501, 0.2468, 0.2422, 0.2398, 0.2391, 0.2393, 0.2411, 0.243, 0.2441, 0.248",\
"0.2523, 0.2483, 0.244, 0.2416, 0.241, 0.2412, 0.2431, 0.2454, 0.2461, 0.25",\
"0.2618, 0.2583, 0.2536, 0.251, 0.2508, 0.2513, 0.2525, 0.2533, 0.2555, 0.259",\
"0.2828, 0.2791, 0.2736, 0.271, 0.2697, 0.2699, 0.2712, 0.2721, 0.2746, 0.276",\
"0.3162, 0.3121, 0.3055, 0.3028, 0.3014, 0.3012, 0.3025, 0.3037, 0.3063, 0.3069",\
"0.3577, 0.3533, 0.3465, 0.3421, 0.3416, 0.341, 0.342, 0.3425, 0.3439, 0.3483",\
"0.4037, 0.3986, 0.3912, 0.3856, 0.3831, 0.3844, 0.3851, 0.3852, 0.3867, 0.3889",\
"0.4538, 0.4486, 0.4402, 0.4344, 0.4307, 0.4295, 0.4332, 0.4344, 0.4359, 0.4349",\
"0.5081, 0.5024, 0.4939, 0.4867, 0.4837, 0.4817, 0.4819, 0.4856, 0.4867, 0.4886");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.2502, 0.2469, 0.2416, 0.2399, 0.2387, 0.2398, 0.2415, 0.2427, 0.2445, 0.2459",\
"0.2501, 0.2468, 0.2422, 0.2398, 0.2391, 0.2393, 0.2411, 0.243, 0.2441, 0.248",\
"0.2523, 0.2483, 0.244, 0.2416, 0.241, 0.2412, 0.2431, 0.2454, 0.2461, 0.25",\
"0.2618, 0.2583, 0.2536, 0.251, 0.2508, 0.2513, 0.2525, 0.2533, 0.2555, 0.259",\
"0.2828, 0.2791, 0.2736, 0.271, 0.2697, 0.2699, 0.2712, 0.2721, 0.2746, 0.276",\
"0.3162, 0.3121, 0.3055, 0.3028, 0.3014, 0.3012, 0.3025, 0.3037, 0.3063, 0.3069",\
"0.3577, 0.3533, 0.3465, 0.3421, 0.3416, 0.341, 0.342, 0.3425, 0.3439, 0.3483",\
"0.4037, 0.3986, 0.3912, 0.3856, 0.3831, 0.3844, 0.3851, 0.3852, 0.3867, 0.3889",\
"0.4538, 0.4486, 0.4402, 0.4344, 0.4307, 0.4295, 0.4332, 0.4344, 0.4359, 0.4349",\
"0.5081, 0.5024, 0.4939, 0.4867, 0.4837, 0.4817, 0.4819, 0.4856, 0.4867, 0.4886");
}
}
internal_power() {
related_pin : "SETN" ;
when : "!CLK&D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.2494, 0.2457, 0.2416, 0.239, 0.2387, 0.2386, 0.2407, 0.2422, 0.2441, 0.2451",\
"0.2495, 0.2459, 0.2414, 0.2391, 0.2383, 0.2384, 0.2404, 0.2426, 0.2434, 0.2473",\
"0.2514, 0.248, 0.2433, 0.2409, 0.2403, 0.2404, 0.2426, 0.2445, 0.2456, 0.2477",\
"0.2612, 0.2575, 0.2529, 0.2503, 0.2501, 0.2506, 0.2519, 0.2527, 0.2549, 0.2581",\
"0.2823, 0.2784, 0.273, 0.2703, 0.2691, 0.2688, 0.2711, 0.2719, 0.2741, 0.2758",\
"0.3154, 0.3114, 0.3051, 0.3021, 0.3007, 0.2997, 0.3016, 0.3031, 0.3046, 0.306",\
"0.3577, 0.353, 0.3464, 0.3416, 0.3412, 0.3406, 0.3405, 0.3417, 0.3442, 0.3454",\
"0.4034, 0.3982, 0.3912, 0.3852, 0.3832, 0.3849, 0.3851, 0.3852, 0.3856, 0.3878",\
"0.4537, 0.4485, 0.4403, 0.4339, 0.43, 0.4291, 0.4326, 0.4342, 0.4339, 0.4364",\
"0.5078, 0.5021, 0.4939, 0.487, 0.4836, 0.4826, 0.4805, 0.4856, 0.4875, 0.489");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.2494, 0.2457, 0.2416, 0.239, 0.2387, 0.2386, 0.2407, 0.2422, 0.2441, 0.2451",\
"0.2495, 0.2459, 0.2414, 0.2391, 0.2383, 0.2384, 0.2404, 0.2426, 0.2434, 0.2473",\
"0.2514, 0.248, 0.2433, 0.2409, 0.2403, 0.2404, 0.2426, 0.2445, 0.2456, 0.2477",\
"0.2612, 0.2575, 0.2529, 0.2503, 0.2501, 0.2506, 0.2519, 0.2527, 0.2549, 0.2581",\
"0.2823, 0.2784, 0.273, 0.2703, 0.2691, 0.2688, 0.2711, 0.2719, 0.2741, 0.2758",\
"0.3154, 0.3114, 0.3051, 0.3021, 0.3007, 0.2997, 0.3016, 0.3031, 0.3046, 0.306",\
"0.3577, 0.353, 0.3464, 0.3416, 0.3412, 0.3406, 0.3405, 0.3417, 0.3442, 0.3454",\
"0.4034, 0.3982, 0.3912, 0.3852, 0.3832, 0.3849, 0.3851, 0.3852, 0.3856, 0.3878",\
"0.4537, 0.4485, 0.4403, 0.4339, 0.43, 0.4291, 0.4326, 0.4342, 0.4339, 0.4364",\
"0.5078, 0.5021, 0.4939, 0.487, 0.4836, 0.4826, 0.4805, 0.4856, 0.4875, 0.489");
}
}
internal_power() {
related_pin : "SETN" ;
when : "CLK&!D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.3501, 0.3463, 0.3407, 0.3376, 0.3365, 0.3364, 0.3385, 0.3397, 0.3412, 0.3443",\
"0.3498, 0.3458, 0.34, 0.3374, 0.3364, 0.3362, 0.3378, 0.3394, 0.3412, 0.3429",\
"0.3535, 0.35, 0.3443, 0.3411, 0.3402, 0.3398, 0.3415, 0.343, 0.3449, 0.3466",\
"0.3702, 0.3662, 0.3608, 0.3575, 0.3564, 0.3563, 0.3581, 0.3596, 0.3604, 0.3625",\
"0.4078, 0.4038, 0.3979, 0.3941, 0.3928, 0.3931, 0.3944, 0.3953, 0.3967, 0.3988",\
"0.4659, 0.4614, 0.4554, 0.4516, 0.4493, 0.4487, 0.4506, 0.4514, 0.4536, 0.4557",\
"0.544, 0.5396, 0.5323, 0.5275, 0.5268, 0.525, 0.5265, 0.527, 0.5285, 0.5303",\
"0.6326, 0.6277, 0.62, 0.6142, 0.6115, 0.6129, 0.6133, 0.613, 0.6138, 0.6157",\
"0.7289, 0.7243, 0.7154, 0.7093, 0.7057, 0.7053, 0.7084, 0.7085, 0.7085, 0.7093",\
"0.8336, 0.8286, 0.8199, 0.8132, 0.8091, 0.8075, 0.8088, 0.8121, 0.814, 0.8148");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.3501, 0.3463, 0.3407, 0.3376, 0.3365, 0.3364, 0.3385, 0.3397, 0.3412, 0.3443",\
"0.3498, 0.3458, 0.34, 0.3374, 0.3364, 0.3362, 0.3378, 0.3394, 0.3412, 0.3429",\
"0.3535, 0.35, 0.3443, 0.3411, 0.3402, 0.3398, 0.3415, 0.343, 0.3449, 0.3466",\
"0.3702, 0.3662, 0.3608, 0.3575, 0.3564, 0.3563, 0.3581, 0.3596, 0.3604, 0.3625",\
"0.4078, 0.4038, 0.3979, 0.3941, 0.3928, 0.3931, 0.3944, 0.3953, 0.3967, 0.3988",\
"0.4659, 0.4614, 0.4554, 0.4516, 0.4493, 0.4487, 0.4506, 0.4514, 0.4536, 0.4557",\
"0.544, 0.5396, 0.5323, 0.5275, 0.5268, 0.525, 0.5265, 0.527, 0.5285, 0.5303",\
"0.6326, 0.6277, 0.62, 0.6142, 0.6115, 0.6129, 0.6133, 0.613, 0.6138, 0.6157",\
"0.7289, 0.7243, 0.7154, 0.7093, 0.7057, 0.7053, 0.7084, 0.7085, 0.7085, 0.7093",\
"0.8336, 0.8286, 0.8199, 0.8132, 0.8091, 0.8075, 0.8088, 0.8121, 0.814, 0.8148");
}
}
internal_power() {
related_pin : "SETN" ;
when : "CLK&D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.3502, 0.3465, 0.3409, 0.3375, 0.3364, 0.3364, 0.3381, 0.3397, 0.3419, 0.344",\
"0.3498, 0.3459, 0.34, 0.3374, 0.3363, 0.3362, 0.3379, 0.3394, 0.3412, 0.343",\
"0.3536, 0.35, 0.3443, 0.3411, 0.3402, 0.3402, 0.3416, 0.3431, 0.3449, 0.3474",\
"0.3704, 0.3662, 0.3608, 0.3576, 0.3564, 0.3562, 0.3581, 0.3593, 0.3622, 0.3625",\
"0.4079, 0.4038, 0.3979, 0.3941, 0.3926, 0.393, 0.3945, 0.3956, 0.3968, 0.3978",\
"0.466, 0.4615, 0.4549, 0.4515, 0.4492, 0.4487, 0.4506, 0.4511, 0.4529, 0.4557",\
"0.5444, 0.5399, 0.5323, 0.5275, 0.5266, 0.5254, 0.5262, 0.5267, 0.5289, 0.5315",\
"0.6326, 0.6279, 0.6199, 0.6143, 0.6117, 0.6128, 0.6134, 0.6127, 0.6138, 0.6172",\
"0.7291, 0.7239, 0.7161, 0.7092, 0.7057, 0.7054, 0.7076, 0.7081, 0.7085, 0.7093",\
"0.834, 0.8287, 0.82, 0.813, 0.8093, 0.8067, 0.8091, 0.8118, 0.8132, 0.8148");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.3502, 0.3465, 0.3409, 0.3375, 0.3364, 0.3364, 0.3381, 0.3397, 0.3419, 0.344",\
"0.3498, 0.3459, 0.34, 0.3374, 0.3363, 0.3362, 0.3379, 0.3394, 0.3412, 0.343",\
"0.3536, 0.35, 0.3443, 0.3411, 0.3402, 0.3402, 0.3416, 0.3431, 0.3449, 0.3474",\
"0.3704, 0.3662, 0.3608, 0.3576, 0.3564, 0.3562, 0.3581, 0.3593, 0.3622, 0.3625",\
"0.4079, 0.4038, 0.3979, 0.3941, 0.3926, 0.393, 0.3945, 0.3956, 0.3968, 0.3978",\
"0.466, 0.4615, 0.4549, 0.4515, 0.4492, 0.4487, 0.4506, 0.4511, 0.4529, 0.4557",\
"0.5444, 0.5399, 0.5323, 0.5275, 0.5266, 0.5254, 0.5262, 0.5267, 0.5289, 0.5315",\
"0.6326, 0.6279, 0.6199, 0.6143, 0.6117, 0.6128, 0.6134, 0.6127, 0.6138, 0.6172",\
"0.7291, 0.7239, 0.7161, 0.7092, 0.7057, 0.7054, 0.7076, 0.7081, 0.7085, 0.7093",\
"0.834, 0.8287, 0.82, 0.813, 0.8093, 0.8067, 0.8091, 0.8118, 0.8132, 0.8148");
}
}
timing() {
related_pin : "CLK" ;
timing_type : rising_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.6094, 0.6335, 0.6945, 0.7852, 0.9109, 1.083, 1.309, 1.594, 1.941, 2.356",\
"0.6165, 0.6407, 0.7018, 0.7922, 0.918, 1.09, 1.316, 1.601, 1.949, 2.363",\
"0.6441, 0.6681, 0.7292, 0.8198, 0.9456, 1.118, 1.343, 1.628, 1.976, 2.391",\
"0.6881, 0.7121, 0.7732, 0.8638, 0.9896, 1.161, 1.387, 1.672, 2.02, 2.435",\
"0.7272, 0.7512, 0.8124, 0.903, 1.029, 1.201, 1.427, 1.711, 2.059, 2.474",\
"0.7591, 0.7832, 0.8442, 0.9348, 1.06, 1.232, 1.458, 1.743, 2.091, 2.506",\
"0.78, 0.804, 0.8651, 0.9557, 1.081, 1.254, 1.479, 1.764, 2.112, 2.527",\
"0.7881, 0.8122, 0.8732, 0.9638, 1.09, 1.262, 1.488, 1.772, 2.12, 2.534",\
"0.7814, 0.8055, 0.8664, 0.9571, 1.083, 1.255, 1.481, 1.765, 2.113, 2.528",\
"0.7585, 0.7825, 0.8436, 0.9342, 1.06, 1.232, 1.458, 1.743, 2.09, 2.505");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.5497, 0.5739, 0.6476, 0.7839, 0.9972, 1.297, 1.691, 2.188, 2.796, 3.52",\
"0.5569, 0.581, 0.6547, 0.7909, 1.004, 1.304, 1.699, 2.195, 2.803, 3.527",\
"0.5842, 0.6085, 0.6818, 0.8182, 1.032, 1.331, 1.726, 2.223, 2.83, 3.553",\
"0.6289, 0.653, 0.7266, 0.863, 1.076, 1.376, 1.77, 2.268, 2.874, 3.599",\
"0.6699, 0.6941, 0.7674, 0.9038, 1.117, 1.417, 1.811, 2.308, 2.915, 3.639",\
"0.7036, 0.7276, 0.8015, 0.9379, 1.151, 1.451, 1.845, 2.342, 2.949, 3.674",\
"0.728, 0.752, 0.8258, 0.9622, 1.175, 1.475, 1.869, 2.367, 2.974, 3.698",\
"0.7404, 0.7648, 0.8382, 0.9745, 1.188, 1.487, 1.882, 2.379, 2.985, 3.709",\
"0.7384, 0.7626, 0.8363, 0.9727, 1.186, 1.486, 1.88, 2.377, 2.983, 3.706",\
"0.721, 0.7455, 0.8191, 0.9554, 1.169, 1.468, 1.863, 2.36, 2.966, 3.689");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.06706, 0.08994, 0.1541, 0.268, 0.4563, 0.7371, 1.11, 1.584, 2.164, 2.852",\
"0.06708, 0.09, 0.1542, 0.2681, 0.4571, 0.7364, 1.111, 1.583, 2.161, 2.854",\
"0.06706, 0.08996, 0.1541, 0.2681, 0.4564, 0.737, 1.11, 1.582, 2.161, 2.854",\
"0.06706, 0.08994, 0.1541, 0.2682, 0.4564, 0.7364, 1.111, 1.583, 2.162, 2.854",\
"0.0668, 0.0898, 0.154, 0.2682, 0.457, 0.7364, 1.111, 1.583, 2.161, 2.854",\
"0.0668, 0.0896, 0.1542, 0.268, 0.4562, 0.736, 1.111, 1.584, 2.162, 2.854",\
"0.067, 0.0898, 0.1542, 0.268, 0.4562, 0.736, 1.109, 1.582, 2.159, 2.852",\
"0.067, 0.0896, 0.154, 0.2678, 0.4566, 0.7366, 1.109, 1.583, 2.158, 2.847",\
"0.0672, 0.0896, 0.154, 0.2678, 0.4566, 0.7354, 1.109, 1.581, 2.16, 2.845",\
"0.0668, 0.0896, 0.154, 0.268, 0.4562, 0.736, 1.11, 1.583, 2.158, 2.846");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.0611, 0.09284, 0.2072, 0.442, 0.8121, 1.333, 2.019, 2.884, 3.946, 5.201",\
"0.06116, 0.09282, 0.2072, 0.4415, 0.8122, 1.334, 2.019, 2.883, 3.944, 5.2",\
"0.0609, 0.0928, 0.2076, 0.4418, 0.8137, 1.335, 2.022, 2.882, 3.941, 5.201",\
"0.06082, 0.09282, 0.2077, 0.4418, 0.8122, 1.335, 2.023, 2.887, 3.939, 5.206",\
"0.0606, 0.0924, 0.2076, 0.4424, 0.8122, 1.335, 2.022, 2.885, 3.939, 5.204",\
"0.0606, 0.0928, 0.2076, 0.4416, 0.8134, 1.333, 2.018, 2.884, 3.938, 5.209",\
"0.0606, 0.0928, 0.2074, 0.4424, 0.8118, 1.333, 2.019, 2.882, 3.945, 5.199",\
"0.061, 0.0928, 0.2078, 0.4424, 0.812, 1.334, 2.019, 2.885, 3.936, 5.198",\
"0.0612, 0.0928, 0.2078, 0.4416, 0.812, 1.333, 2.019, 2.882, 3.938, 5.197",\
"0.0614, 0.0932, 0.2078, 0.4414, 0.814, 1.335, 2.019, 2.882, 3.937, 5.192");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "CLK===1'b0 && D===1'b0" ;
timing_sense : negative_unate ;
timing_type : preset ;
when : "!CLK&!D" ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.389, 0.4129, 0.4857, 0.622, 0.8349, 1.135, 1.529, 2.026, 2.635, 3.357",\
"0.3984, 0.4225, 0.4956, 0.6315, 0.8447, 1.144, 1.539, 2.036, 2.645, 3.368",\
"0.4347, 0.4585, 0.5319, 0.6677, 0.8809, 1.181, 1.575, 2.072, 2.681, 3.405",\
"0.503, 0.5273, 0.6004, 0.7361, 0.9492, 1.249, 1.643, 2.141, 2.749, 3.473",\
"0.5918, 0.6159, 0.6887, 0.8245, 1.037, 1.336, 1.731, 2.229, 2.835, 3.561",\
"0.6944, 0.7187, 0.7915, 0.9266, 1.139, 1.439, 1.833, 2.331, 2.937, 3.663",\
"0.8078, 0.8324, 0.9055, 1.04, 1.252, 1.552, 1.946, 2.443, 3.05, 3.775",\
"0.9304, 0.955, 1.028, 1.162, 1.374, 1.673, 2.067, 2.564, 3.171, 3.894",\
"1.062, 1.087, 1.16, 1.294, 1.505, 1.804, 2.198, 2.694, 3.3, 4.023",\
"1.201, 1.227, 1.3, 1.434, 1.645, 1.943, 2.337, 2.833, 3.44, 4.162");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.05918, 0.09128, 0.2056, 0.4409, 0.812, 1.333, 2.019, 2.885, 3.948, 5.201",\
"0.0594, 0.09146, 0.2056, 0.4411, 0.8117, 1.335, 2.023, 2.887, 3.942, 5.211",\
"0.05958, 0.09156, 0.2056, 0.4409, 0.8119, 1.335, 2.023, 2.885, 3.941, 5.21",\
"0.06016, 0.0918, 0.2058, 0.441, 0.8134, 1.333, 2.023, 2.889, 3.942, 5.212",\
"0.0604, 0.0924, 0.2064, 0.4412, 0.8132, 1.336, 2.019, 2.89, 3.942, 5.202",\
"0.0622, 0.094, 0.2066, 0.441, 0.813, 1.333, 2.023, 2.885, 3.939, 5.201",\
"0.0648, 0.0962, 0.208, 0.4416, 0.813, 1.333, 2.022, 2.884, 3.942, 5.2",\
"0.066, 0.0972, 0.2084, 0.4414, 0.8124, 1.333, 2.018, 2.883, 3.936, 5.196",\
"0.0684, 0.0986, 0.2092, 0.4418, 0.813, 1.333, 2.018, 2.882, 3.941, 5.197",\
"0.0702, 0.1004, 0.2098, 0.4414, 0.8128, 1.334, 2.019, 2.881, 3.936, 5.194");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "CLK===1'b0 && D===1'b1" ;
timing_sense : negative_unate ;
timing_type : preset ;
when : "!CLK&D" ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.3891, 0.413, 0.4864, 0.6222, 0.8354, 1.135, 1.53, 2.027, 2.635, 3.357",\
"0.3985, 0.4227, 0.4958, 0.6317, 0.8448, 1.144, 1.539, 2.036, 2.645, 3.368",\
"0.4348, 0.459, 0.5321, 0.6679, 0.8811, 1.181, 1.575, 2.073, 2.681, 3.405",\
"0.5031, 0.5272, 0.6005, 0.7362, 0.9494, 1.249, 1.643, 2.141, 2.749, 3.473",\
"0.5917, 0.6158, 0.6886, 0.8246, 1.037, 1.337, 1.731, 2.229, 2.837, 3.559",\
"0.6945, 0.7189, 0.792, 0.9269, 1.139, 1.439, 1.833, 2.331, 2.939, 3.66",\
"0.8082, 0.8326, 0.9058, 1.04, 1.253, 1.552, 1.946, 2.443, 3.049, 3.775",\
"0.9307, 0.9551, 1.028, 1.162, 1.375, 1.673, 2.068, 2.564, 3.171, 3.896",\
"1.062, 1.087, 1.16, 1.294, 1.505, 1.804, 2.198, 2.694, 3.301, 4.024",\
"1.202, 1.227, 1.3, 1.434, 1.645, 1.943, 2.337, 2.833, 3.44, 4.163");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.05956, 0.09156, 0.2056, 0.441, 0.8121, 1.334, 2.023, 2.885, 3.948, 5.205",\
"0.05994, 0.09156, 0.2056, 0.4411, 0.8116, 1.335, 2.023, 2.886, 3.941, 5.211",\
"0.05942, 0.09146, 0.2056, 0.441, 0.8118, 1.335, 2.023, 2.885, 3.941, 5.21",\
"0.06016, 0.09162, 0.2057, 0.441, 0.8134, 1.333, 2.023, 2.888, 3.942, 5.212",\
"0.061, 0.0924, 0.2062, 0.4412, 0.8124, 1.335, 2.02, 2.888, 3.942, 5.2",\
"0.0626, 0.0936, 0.2066, 0.441, 0.8132, 1.336, 2.023, 2.886, 3.949, 5.203",\
"0.0648, 0.0954, 0.2076, 0.4418, 0.8118, 1.333, 2.018, 2.884, 3.938, 5.201",\
"0.0662, 0.0972, 0.2084, 0.4412, 0.8122, 1.334, 2.018, 2.886, 3.939, 5.208",\
"0.0682, 0.0988, 0.2094, 0.4416, 0.8136, 1.334, 2.019, 2.883, 3.939, 5.195",\
"0.0694, 0.1, 0.2098, 0.4418, 0.8134, 1.333, 2.019, 2.881, 3.937, 5.195");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "CLK===1'b1 && D===1'b0" ;
timing_sense : negative_unate ;
timing_type : preset ;
when : "CLK&!D" ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.4751, 0.4994, 0.5721, 0.7078, 0.9206, 1.22, 1.614, 2.112, 2.718, 3.442",\
"0.4842, 0.5084, 0.5813, 0.7171, 0.9298, 1.229, 1.624, 2.121, 2.728, 3.452",\
"0.5204, 0.5449, 0.6178, 0.7533, 0.9659, 1.265, 1.66, 2.157, 2.764, 3.489",\
"0.5952, 0.6195, 0.6927, 0.8281, 1.041, 1.34, 1.734, 2.232, 2.839, 3.563",\
"0.7043, 0.7287, 0.8017, 0.9366, 1.149, 1.449, 1.843, 2.34, 2.948, 3.67",\
"0.8347, 0.859, 0.9322, 1.067, 1.279, 1.578, 1.973, 2.47, 3.078, 3.8",\
"0.9821, 1.007, 1.08, 1.215, 1.426, 1.725, 2.119, 2.617, 3.224, 3.948",\
"1.144, 1.169, 1.242, 1.377, 1.588, 1.887, 2.28, 2.777, 3.384, 4.109",\
"1.32, 1.346, 1.419, 1.552, 1.763, 2.062, 2.456, 2.952, 3.559, 4.282",\
"1.511, 1.536, 1.609, 1.743, 1.954, 2.252, 2.646, 3.142, 3.749, 4.471");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.06108, 0.09304, 0.2062, 0.4409, 0.8124, 1.334, 2.023, 2.885, 3.94, 5.204",\
"0.06164, 0.093, 0.2063, 0.4411, 0.813, 1.336, 2.023, 2.883, 3.942, 5.209",\
"0.06164, 0.09302, 0.2061, 0.4413, 0.8132, 1.336, 2.023, 2.883, 3.941, 5.209",\
"0.06174, 0.0931, 0.2064, 0.4413, 0.813, 1.335, 2.023, 2.886, 3.945, 5.199",\
"0.0622, 0.0934, 0.2066, 0.4412, 0.8128, 1.332, 2.021, 2.883, 3.942, 5.197",\
"0.0632, 0.0948, 0.207, 0.441, 0.813, 1.335, 2.021, 2.884, 3.94, 5.199",\
"0.0652, 0.096, 0.2078, 0.4418, 0.8122, 1.334, 2.022, 2.884, 3.948, 5.201",\
"0.0666, 0.0974, 0.2084, 0.4414, 0.8124, 1.332, 2.018, 2.883, 3.938, 5.199",\
"0.068, 0.0986, 0.2088, 0.4422, 0.813, 1.334, 2.02, 2.881, 3.936, 5.198",\
"0.0686, 0.0992, 0.2098, 0.4418, 0.8124, 1.333, 2.02, 2.884, 3.936, 5.2");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "CLK===1'b1 && D===1'b1" ;
timing_sense : negative_unate ;
timing_type : preset ;
when : "CLK&D" ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.4751, 0.4995, 0.5722, 0.7076, 0.9205, 1.22, 1.614, 2.111, 2.718, 3.442",\
"0.4842, 0.5087, 0.5813, 0.7171, 0.9298, 1.229, 1.624, 2.121, 2.728, 3.452",\
"0.5206, 0.5449, 0.6179, 0.7533, 0.9661, 1.265, 1.66, 2.157, 2.764, 3.489",\
"0.5955, 0.6195, 0.6927, 0.8281, 1.041, 1.34, 1.734, 2.231, 2.839, 3.563",\
"0.7043, 0.7287, 0.8017, 0.9367, 1.149, 1.449, 1.843, 2.34, 2.947, 3.671",\
"0.8347, 0.859, 0.9319, 1.067, 1.279, 1.578, 1.973, 2.47, 3.078, 3.8",\
"0.9824, 1.007, 1.08, 1.214, 1.426, 1.725, 2.119, 2.616, 3.224, 3.947",\
"1.144, 1.169, 1.242, 1.376, 1.588, 1.887, 2.28, 2.777, 3.384, 4.109",\
"1.321, 1.346, 1.419, 1.553, 1.764, 2.062, 2.456, 2.953, 3.559, 4.282",\
"1.511, 1.536, 1.609, 1.743, 1.954, 2.252, 2.646, 3.142, 3.749, 4.471");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.06096, 0.09258, 0.2062, 0.4409, 0.8119, 1.334, 2.023, 2.885, 3.94, 5.205",\
"0.06162, 0.09302, 0.2063, 0.4412, 0.813, 1.336, 2.023, 2.883, 3.941, 5.209",\
"0.06124, 0.09302, 0.2063, 0.4412, 0.8128, 1.335, 2.023, 2.883, 3.942, 5.197",\
"0.06136, 0.0931, 0.2064, 0.4413, 0.813, 1.335, 2.023, 2.883, 3.946, 5.2",\
"0.062, 0.0934, 0.2066, 0.441, 0.8118, 1.334, 2.023, 2.885, 3.941, 5.203",\
"0.0634, 0.0948, 0.207, 0.4412, 0.8128, 1.335, 2.023, 2.884, 3.941, 5.197",\
"0.065, 0.0958, 0.2076, 0.4414, 0.8122, 1.334, 2.021, 2.886, 3.939, 5.2",\
"0.0664, 0.0974, 0.2084, 0.4416, 0.8128, 1.332, 2.018, 2.884, 3.94, 5.206",\
"0.0678, 0.0982, 0.209, 0.4422, 0.8128, 1.334, 2.019, 2.882, 3.938, 5.196",\
"0.0692, 0.0996, 0.2094, 0.4416, 0.8128, 1.334, 2.019, 2.887, 3.936, 5.199");
}
}
timing() {
related_pin : "SETN" ;
timing_sense : negative_unate ;
timing_type : preset ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.4751, 0.4994, 0.5721, 0.7078, 0.9206, 1.22, 1.614, 2.112, 2.718, 3.442",\
"0.4842, 0.5084, 0.5813, 0.7171, 0.9298, 1.229, 1.624, 2.121, 2.728, 3.452",\
"0.5204, 0.5449, 0.6178, 0.7533, 0.9659, 1.265, 1.66, 2.157, 2.764, 3.489",\
"0.5952, 0.6195, 0.6927, 0.8281, 1.041, 1.34, 1.734, 2.232, 2.839, 3.563",\
"0.7043, 0.7287, 0.8017, 0.9366, 1.149, 1.449, 1.843, 2.34, 2.948, 3.67",\
"0.8347, 0.859, 0.9322, 1.067, 1.279, 1.578, 1.973, 2.47, 3.078, 3.8",\
"0.9821, 1.007, 1.08, 1.215, 1.426, 1.725, 2.119, 2.617, 3.224, 3.948",\
"1.144, 1.169, 1.242, 1.377, 1.588, 1.887, 2.28, 2.777, 3.384, 4.109",\
"1.32, 1.346, 1.419, 1.552, 1.763, 2.062, 2.456, 2.952, 3.559, 4.282",\
"1.511, 1.536, 1.609, 1.743, 1.954, 2.252, 2.646, 3.142, 3.749, 4.471");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005896, 0.02308, 0.0559, 0.107, 0.1788, 0.2732, 0.3921, 0.5373, 0.7104");
values("0.05956, 0.09156, 0.2056, 0.441, 0.8121, 1.334, 2.023, 2.885, 3.948, 5.205",\
"0.05994, 0.09156, 0.2056, 0.4411, 0.8116, 1.335, 2.023, 2.886, 3.941, 5.211",\
"0.05942, 0.09146, 0.2056, 0.441, 0.8118, 1.335, 2.023, 2.885, 3.941, 5.21",\
"0.06016, 0.09162, 0.2057, 0.441, 0.8134, 1.333, 2.023, 2.888, 3.942, 5.212",\
"0.061, 0.0924, 0.2062, 0.4412, 0.8124, 1.335, 2.02, 2.888, 3.942, 5.2",\
"0.0626, 0.0936, 0.2066, 0.441, 0.8132, 1.336, 2.023, 2.886, 3.949, 5.203",\
"0.0648, 0.0954, 0.2076, 0.4418, 0.8118, 1.333, 2.018, 2.884, 3.938, 5.201",\
"0.0662, 0.0972, 0.2084, 0.4412, 0.8122, 1.334, 2.018, 2.886, 3.939, 5.208",\
"0.0682, 0.0988, 0.2094, 0.4416, 0.8136, 1.334, 2.019, 2.883, 3.939, 5.195",\
"0.0694, 0.1, 0.2098, 0.4418, 0.8134, 1.333, 2.019, 2.881, 3.937, 5.195");
}
}
}
pin(SETN) {
capacitance : 0.006556 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 5.2 ;
min_pulse_width_low : 0.344 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLK&!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.07676, 0.07642, 0.078, 0.08482, 0.09847, 0.1197, 0.1494, 0.1876, \
0.2349, 0.2918");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.008589, 0.008391, 0.00965, 0.01515, 0.02663, 0.04496, 0.07064, \
0.104, 0.1456, 0.1957");
}
}
internal_power() {
when : "!CLK&D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.02535, 0.0253, 0.02526, 0.02528, 0.02522, 0.02528, 0.02526, \
0.02531, 0.02528, 0.0253");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.01684, -0.01684, -0.01689, -0.01684, -0.01689, -0.01688, -0.01694, \
-0.01695, -0.01697, -0.01698");
}
}
internal_power() {
when : "CLK&!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.02539, 0.02536, 0.02528, 0.02525, 0.02525, 0.02529, 0.0253, \
0.02528, 0.02526, 0.02526");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.01685, -0.01684, -0.01684, -0.01683, -0.01685, -0.01689, -0.01692, \
-0.01694, -0.01696, -0.01699");
}
}
internal_power() {
when : "CLK&D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.02539, 0.02532, 0.02523, 0.02525, 0.02523, 0.02523, 0.02524, \
0.02522, 0.02529, 0.02527");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.01684, -0.01684, -0.01684, -0.01683, -0.01685, -0.01689, -0.01692, \
-0.01694, -0.01696, -0.01698");
}
}
timing() {
related_pin : "CLK" ;
timing_type : recovery_rising ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.034, -0.046, -0.074, -0.116, -0.156, -0.183, -0.2, -0.212, -0.187, -0.162",\
"-0.031, -0.035, -0.069, -0.113, -0.149, -0.177, -0.196, -0.198, -0.187, -0.154",\
"-0.005, -0.016, -0.047, -0.088, -0.126, -0.161, -0.173, -0.177, -0.166, -0.133",\
"0.043, 0.03, 0.006, -0.042, -0.079, -0.108, -0.122, -0.129, -0.116, -0.086",\
"0.115, 0.107, 0.079, 0.03, -0.009, -0.041, -0.052, -0.062, -0.044, -0.008",\
"0.258, 0.239, 0.202, 0.154, 0.108, 0.068, 0.05, 0.039, 0.051, 0.093",\
"0.502, 0.481, 0.443, 0.394, 0.326, 0.262, 0.236, 0.202, 0.221, 0.253",\
"0.843, 0.813, 0.777, 0.697, 0.634, 0.573, 0.553, 0.494, 0.505, 0.558",\
"1.276, 1.235, 1.184, 1.129, 1.045, 0.959, 0.937, 0.865, 0.916, 0.955",\
"1.769, 1.714, 1.661, 1.575, 1.504, 1.393, 1.376, 1.29, 1.359, 1.431");
}
}
timing() {
related_pin : "CLK" ;
timing_type : removal_rising ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.2, 0.206, 0.24, 0.281, 0.318, 0.348, 0.373, 0.383, 0.376, 0.348",\
"0.197, 0.205, 0.229, 0.273, 0.311, 0.347, 0.366, 0.378, 0.367, 0.342",\
"0.171, 0.176, 0.206, 0.25, 0.288, 0.318, 0.34, 0.348, 0.341, 0.317",\
"0.129, 0.137, 0.165, 0.207, 0.245, 0.276, 0.299, 0.304, 0.3, 0.278",\
"0.082, 0.092, 0.118, 0.164, 0.199, 0.23, 0.254, 0.265, 0.255, 0.227",\
"0.043, 0.053, 0.079, 0.118, 0.154, 0.183, 0.203, 0.207, 0.202, 0.176",\
"0.009, 0.017, 0.04, 0.086, 0.12, 0.142, 0.161, 0.167, 0.158, 0.132",\
"-0.02, -0.01, 0.018, 0.055, 0.086, 0.112, 0.129, 0.134, 0.118, 0.097",\
"-0.039, -0.037, -0.007, 0.031, 0.067, 0.089, 0.105, 0.107, 0.096, 0.064",\
"-0.053, -0.051, -0.02, 0.018, 0.05, 0.077, 0.096, 0.089, 0.073, 0.043");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "ENABLE_NOT_CLK_AND_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!CLK&!D" ;
fall_constraint(scalar) {
values("0.281");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "ENABLE_NOT_CLK_AND_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!CLK&D" ;
fall_constraint(scalar) {
values("0.281");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "ENABLE_CLK_AND_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "CLK&!D" ;
fall_constraint(scalar) {
values("0.344");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "ENABLE_CLK_AND_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "CLK&D" ;
fall_constraint(scalar) {
values("0.344");
}
}
}
}