blob: b37523885556b167d2dbffe297c5785b78833d54 [file] [log] [blame]
/*
* Copyright 2022 GlobalFoundries PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*/
cell(gf180mcu_fd_sc_mcu7t5v0__dffnsnq_2) {
area : 83.417600 ;
ff(IQ1,IQN1) {
clocked_on : "(!CLKN)" ;
next_state : "D" ;
preset : "(!SETN)" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&!D&!SETN" ;
value : "0.0002412144" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&!D&SETN" ;
value : "0.0002889252" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&D&!SETN" ;
value : "0.0002424384" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&D&SETN" ;
value : "0.0003397284" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&!D&!SETN" ;
value : "0.0002220912" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&!D&SETN" ;
value : "0.0003300444" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&D&!SETN" ;
value : "0.0002232972" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&D&SETN" ;
value : "0.0003295908" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.0003397284" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLKN) {
capacitance : 0.003746 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 5.2 ;
min_period : 0.841 ;
min_pulse_width_high : 0.363 ;
min_pulse_width_low : 0.401 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D&!SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1897, 0.1893, 0.1919, 0.2056, 0.2318, 0.2718, 0.3264, 0.3965, \
0.4823, 0.5845");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1525, 0.1521, 0.1546, 0.1663, 0.1885, 0.2231, 0.2706, 0.3316, \
0.4064, 0.496");
}
}
internal_power() {
when : "!D&SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.161, 0.1605, 0.1632, 0.1761, 0.2003, 0.2376, 0.2887, 0.3544, \
0.4354, 0.5322");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1105, 0.1101, 0.1125, 0.1231, 0.1434, 0.1757, 0.2205, 0.2781, \
0.3492, 0.4347");
}
}
internal_power() {
when : "D&!SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1636, 0.1631, 0.1658, 0.1786, 0.2029, 0.2401, 0.2912, 0.3569, \
0.4378, 0.5345");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1113, 0.111, 0.1134, 0.1241, 0.1443, 0.1766, 0.2213, 0.279, \
0.3502, 0.4355");
}
}
internal_power() {
when : "D&SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1636, 0.1631, 0.1659, 0.1786, 0.2028, 0.2401, 0.2913, 0.3569, \
0.4379, 0.5345");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1113, 0.111, 0.1134, 0.124, 0.1444, 0.1766, 0.2213, 0.2791, \
0.3501, 0.4356");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_NOT_D_AND_SETN === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&SETN" ;
fall_constraint(scalar) {
values("0.401");
}
rise_constraint(scalar) {
values("0.363");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_D_AND_SETN === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&SETN" ;
fall_constraint(scalar) {
values("0.395");
}
rise_constraint(scalar) {
values("0.355");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_NOT_D_AND_SETN === 1'b1" ;
timing_type : minimum_period ;
when : "!D&SETN" ;
fall_constraint(scalar) {
values("0.834");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_D_AND_SETN === 1'b1" ;
timing_type : minimum_period ;
when : "D&SETN" ;
fall_constraint(scalar) {
values("0.841");
}
}
}
pin(D) {
capacitance : 0.002656 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 5.2 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLKN&!SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.03155, 0.03152, 0.03568, 0.04633, 0.06468, 0.09124, 0.1266, \
0.1717, 0.227, 0.2931");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.002776, 0.002744, 0.005411, 0.01397, 0.02944, 0.0522, 0.08287, \
0.122, 0.1701, 0.2275");
}
}
internal_power() {
when : "!CLKN&SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.03155, 0.03154, 0.03568, 0.04634, 0.06466, 0.09116, 0.1267, \
0.1717, 0.227, 0.293");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.002782, 0.002724, 0.005434, 0.01398, 0.02941, 0.0522, 0.08287, \
0.122, 0.17, 0.2276");
}
}
internal_power() {
when : "CLKN&!SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.07578, 0.0758, 0.07843, 0.08665, 0.1022, 0.1263, 0.1596, 0.2028, \
0.2564, 0.3211");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.02877, 0.02879, 0.03085, 0.03742, 0.05022, 0.07037, 0.09871, \
0.1356, 0.1819, 0.2378");
}
}
internal_power() {
when : "CLKN&SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.1118, 0.1118, 0.1145, 0.1237, 0.1414, 0.1685, 0.2058, 0.2538, \
0.313, 0.3839");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.08943, 0.08945, 0.09165, 0.09912, 0.1134, 0.1358, 0.1672, 0.2078, \
0.2582, 0.3189");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_SETN === 1'b1" ;
timing_type : hold_falling ;
when : "SETN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.011, 0.021, 0.056, 0.102, 0.176, 0.258, 0.35, 0.471, 0.603, 0.753",\
"-0.001, 0.008, 0.041, 0.092, 0.164, 0.247, 0.338, 0.461, 0.597, 0.743",\
"-0.039, -0.031, 0.006, 0.054, 0.125, 0.21, 0.299, 0.418, 0.552, 0.701",\
"-0.103, -0.092, -0.063, -0.011, 0.057, 0.135, 0.23, 0.346, 0.473, 0.63",\
"-0.191, -0.18, -0.149, -0.1, -0.027, 0.046, 0.139, 0.246, 0.372, 0.521",\
"-0.296, -0.285, -0.26, -0.211, -0.142, -0.068, 0.026, 0.128, 0.254, 0.399",\
"-0.426, -0.414, -0.385, -0.337, -0.275, -0.203, -0.115, -0.008, 0.107, 0.255",\
"-0.575, -0.565, -0.535, -0.488, -0.431, -0.358, -0.276, -0.169, -0.056, 0.087",\
"-0.741, -0.725, -0.706, -0.663, -0.606, -0.538, -0.455, -0.358, -0.238, -0.112",\
"-0.933, -0.924, -0.9, -0.858, -0.808, -0.741, -0.666, -0.566, -0.455, -0.325");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.12, 0.129, 0.161, 0.235, 0.328, 0.438, 0.566, 0.717, 0.88, 1.055",\
"0.114, 0.121, 0.154, 0.224, 0.321, 0.431, 0.562, 0.708, 0.866, 1.047",\
"0.092, 0.101, 0.135, 0.209, 0.3, 0.417, 0.541, 0.691, 0.85, 1.032",\
"0.083, 0.089, 0.124, 0.197, 0.293, 0.408, 0.533, 0.68, 0.836, 1.023",\
"0.077, 0.086, 0.124, 0.198, 0.295, 0.403, 0.533, 0.68, 0.839, 1.02",\
"0.095, 0.107, 0.145, 0.212, 0.304, 0.421, 0.548, 0.693, 0.855, 1.035",\
"0.133, 0.143, 0.178, 0.25, 0.343, 0.454, 0.582, 0.728, 0.893, 1.069",\
"0.203, 0.214, 0.244, 0.314, 0.399, 0.51, 0.636, 0.783, 0.948, 1.123",\
"0.298, 0.302, 0.337, 0.399, 0.483, 0.594, 0.716, 0.87, 1.021, 1.199",\
"0.417, 0.428, 0.456, 0.521, 0.601, 0.705, 0.831, 0.978, 1.126, 1.306");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_SETN === 1'b1" ;
timing_type : setup_falling ;
when : "SETN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.258, 0.249, 0.215, 0.136, 0.051, -0.04, -0.152, -0.279, -0.415, -0.573",\
"0.27, 0.262, 0.223, 0.151, 0.06, -0.031, -0.141, -0.265, -0.406, -0.562",\
"0.31, 0.304, 0.259, 0.189, 0.101, 0.004, -0.104, -0.231, -0.367, -0.523",\
"0.387, 0.377, 0.331, 0.264, 0.178, 0.08, -0.028, -0.154, -0.294, -0.451",\
"0.485, 0.478, 0.44, 0.37, 0.278, 0.187, 0.077, -0.043, -0.19, -0.346",\
"0.619, 0.608, 0.566, 0.502, 0.414, 0.313, 0.21, 0.081, -0.053, -0.209",\
"0.776, 0.77, 0.725, 0.659, 0.57, 0.481, 0.362, 0.244, 0.105, -0.053",\
"0.961, 0.948, 0.909, 0.838, 0.754, 0.661, 0.547, 0.424, 0.289, 0.138",\
"1.166, 1.164, 1.117, 1.052, 0.963, 0.868, 0.755, 0.633, 0.496, 0.338",\
"1.402, 1.397, 1.35, 1.285, 1.196, 1.099, 0.99, 0.869, 0.725, 0.575");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.189, 0.178, 0.145, 0.076, -0.004, -0.1, -0.227, -0.338, -0.484, -0.65",\
"0.193, 0.184, 0.148, 0.082, 0.003, -0.085, -0.212, -0.325, -0.476, -0.639",\
"0.222, 0.21, 0.176, 0.108, 0.025, -0.078, -0.196, -0.317, -0.469, -0.609",\
"0.248, 0.238, 0.201, 0.129, 0.053, -0.051, -0.173, -0.304, -0.446, -0.596",\
"0.267, 0.259, 0.224, 0.148, 0.069, -0.041, -0.167, -0.293, -0.442, -0.595",\
"0.28, 0.27, 0.235, 0.163, 0.069, -0.034, -0.161, -0.295, -0.447, -0.606",\
"0.271, 0.262, 0.225, 0.148, 0.06, -0.05, -0.18, -0.311, -0.463, -0.64",\
"0.242, 0.236, 0.2, 0.129, 0.034, -0.081, -0.207, -0.345, -0.507, -0.674",\
"0.198, 0.188, 0.151, 0.078, -0.014, -0.128, -0.257, -0.396, -0.562, -0.736",\
"0.123, 0.116, 0.079, 0.009, -0.088, -0.197, -0.332, -0.47, -0.641, -0.813");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 0.7097 ;
max_transition : 5.2 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLKN" ;
when : "SETN" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.2353, 0.2261, 0.2118, 0.204, 0.1998, 0.1973, 0.1957, 0.1948, 0.1941, 0.1937",\
"0.2353, 0.226, 0.2118, 0.2041, 0.1998, 0.1973, 0.1957, 0.1947, 0.1941, 0.1936",\
"0.2353, 0.226, 0.2117, 0.2041, 0.1997, 0.1972, 0.1956, 0.1947, 0.194, 0.1935",\
"0.2359, 0.2266, 0.2123, 0.2046, 0.2003, 0.1978, 0.1962, 0.1953, 0.1946, 0.1941",\
"0.2374, 0.2279, 0.2138, 0.2062, 0.2018, 0.1994, 0.1978, 0.1968, 0.1961, 0.1957",\
"0.2396, 0.2304, 0.2162, 0.2084, 0.2041, 0.2015, 0.2, 0.199, 0.1983, 0.1978",\
"0.243, 0.2336, 0.2194, 0.2117, 0.2074, 0.2048, 0.2032, 0.2022, 0.2016, 0.2011",\
"0.2473, 0.238, 0.2237, 0.2158, 0.2115, 0.209, 0.2074, 0.2063, 0.2057, 0.2052",\
"0.2523, 0.243, 0.2286, 0.2207, 0.2162, 0.2137, 0.2121, 0.2111, 0.2104, 0.2099",\
"0.2582, 0.2488, 0.2344, 0.2263, 0.2219, 0.2192, 0.2176, 0.2167, 0.2159, 0.2155");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.266, 0.2597, 0.2519, 0.2471, 0.2452, 0.2449, 0.2456, 0.2469, 0.2488, 0.2495",\
"0.2657, 0.2599, 0.2519, 0.2473, 0.245, 0.2449, 0.2453, 0.247, 0.2485, 0.2503",\
"0.266, 0.2599, 0.2521, 0.2476, 0.2452, 0.2451, 0.2458, 0.2471, 0.2487, 0.2512",\
"0.2673, 0.2609, 0.253, 0.2488, 0.2466, 0.2465, 0.2471, 0.2487, 0.2503, 0.251",\
"0.2692, 0.263, 0.2555, 0.2506, 0.2486, 0.2485, 0.2488, 0.2504, 0.252, 0.2542",\
"0.2719, 0.2658, 0.2581, 0.2537, 0.2516, 0.2513, 0.2526, 0.2532, 0.2551, 0.2551",\
"0.2742, 0.2681, 0.261, 0.2561, 0.255, 0.2536, 0.2547, 0.2559, 0.2579, 0.2591",\
"0.2779, 0.2713, 0.2642, 0.2595, 0.2584, 0.2595, 0.26, 0.2602, 0.2607, 0.263",\
"0.2813, 0.275, 0.2677, 0.2629, 0.2614, 0.2612, 0.2639, 0.2652, 0.265, 0.2659",\
"0.2851, 0.279, 0.2712, 0.2668, 0.265, 0.2639, 0.266, 0.2702, 0.2703, 0.2723");
}
}
internal_power() {
related_pin : "SETN" ;
when : "!CLKN&!D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.3206, 0.3151, 0.3079, 0.3031, 0.3015, 0.3004, 0.3025, 0.3042, 0.3043, 0.3061",\
"0.3203, 0.3148, 0.3077, 0.3028, 0.3011, 0.3001, 0.3022, 0.3035, 0.304, 0.3058",\
"0.3238, 0.3184, 0.311, 0.3063, 0.304, 0.3039, 0.3044, 0.3061, 0.3076, 0.3094",\
"0.3397, 0.3343, 0.3267, 0.322, 0.3196, 0.3197, 0.3202, 0.3219, 0.3231, 0.3249",\
"0.3744, 0.3689, 0.3609, 0.3558, 0.3541, 0.3529, 0.3537, 0.355, 0.3566, 0.3588",\
"0.4289, 0.423, 0.4152, 0.4099, 0.4067, 0.4062, 0.4066, 0.4079, 0.4095, 0.4124",\
"0.502, 0.496, 0.4868, 0.481, 0.4792, 0.4776, 0.4776, 0.4785, 0.4797, 0.4828",\
"0.5838, 0.5774, 0.568, 0.5618, 0.5584, 0.5587, 0.5586, 0.5588, 0.5585, 0.5623",\
"0.6732, 0.6666, 0.6565, 0.6493, 0.6447, 0.6441, 0.6464, 0.6469, 0.6478, 0.6483",\
"0.7699, 0.7633, 0.7531, 0.7455, 0.7407, 0.7387, 0.7386, 0.7431, 0.7436, 0.7441");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.3206, 0.3151, 0.3079, 0.3031, 0.3015, 0.3004, 0.3025, 0.3042, 0.3043, 0.3061",\
"0.3203, 0.3148, 0.3077, 0.3028, 0.3011, 0.3001, 0.3022, 0.3035, 0.304, 0.3058",\
"0.3238, 0.3184, 0.311, 0.3063, 0.304, 0.3039, 0.3044, 0.3061, 0.3076, 0.3094",\
"0.3397, 0.3343, 0.3267, 0.322, 0.3196, 0.3197, 0.3202, 0.3219, 0.3231, 0.3249",\
"0.3744, 0.3689, 0.3609, 0.3558, 0.3541, 0.3529, 0.3537, 0.355, 0.3566, 0.3588",\
"0.4289, 0.423, 0.4152, 0.4099, 0.4067, 0.4062, 0.4066, 0.4079, 0.4095, 0.4124",\
"0.502, 0.496, 0.4868, 0.481, 0.4792, 0.4776, 0.4776, 0.4785, 0.4797, 0.4828",\
"0.5838, 0.5774, 0.568, 0.5618, 0.5584, 0.5587, 0.5586, 0.5588, 0.5585, 0.5623",\
"0.6732, 0.6666, 0.6565, 0.6493, 0.6447, 0.6441, 0.6464, 0.6469, 0.6478, 0.6483",\
"0.7699, 0.7633, 0.7531, 0.7455, 0.7407, 0.7387, 0.7386, 0.7431, 0.7436, 0.7441");
}
}
internal_power() {
related_pin : "SETN" ;
when : "!CLKN&D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.3206, 0.3151, 0.3082, 0.3033, 0.3014, 0.3009, 0.3018, 0.3034, 0.3057, 0.3064",\
"0.3202, 0.3147, 0.3075, 0.3027, 0.3008, 0.2999, 0.3022, 0.3027, 0.3054, 0.3057",\
"0.3238, 0.3184, 0.3109, 0.3063, 0.304, 0.3038, 0.3044, 0.306, 0.3076, 0.3094",\
"0.3396, 0.3344, 0.327, 0.322, 0.3196, 0.3197, 0.3203, 0.3216, 0.3231, 0.325",\
"0.3744, 0.3687, 0.3608, 0.3556, 0.3533, 0.3524, 0.3537, 0.355, 0.3566, 0.3588",\
"0.4289, 0.4233, 0.4152, 0.41, 0.4067, 0.4062, 0.4063, 0.4079, 0.4091, 0.4111",\
"0.5017, 0.4957, 0.4865, 0.4805, 0.4789, 0.4771, 0.4772, 0.4777, 0.4796, 0.4816",\
"0.5838, 0.5775, 0.5686, 0.5615, 0.5583, 0.5586, 0.5586, 0.5573, 0.5589, 0.5623",\
"0.6733, 0.6667, 0.6572, 0.6491, 0.6449, 0.6441, 0.6467, 0.6469, 0.6463, 0.6487",\
"0.7702, 0.7633, 0.7533, 0.7454, 0.7408, 0.7392, 0.7393, 0.7427, 0.7439, 0.7459");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.3206, 0.3151, 0.3082, 0.3033, 0.3014, 0.3009, 0.3018, 0.3034, 0.3057, 0.3064",\
"0.3202, 0.3147, 0.3075, 0.3027, 0.3008, 0.2999, 0.3022, 0.3027, 0.3054, 0.3057",\
"0.3238, 0.3184, 0.3109, 0.3063, 0.304, 0.3038, 0.3044, 0.306, 0.3076, 0.3094",\
"0.3396, 0.3344, 0.327, 0.322, 0.3196, 0.3197, 0.3203, 0.3216, 0.3231, 0.325",\
"0.3744, 0.3687, 0.3608, 0.3556, 0.3533, 0.3524, 0.3537, 0.355, 0.3566, 0.3588",\
"0.4289, 0.4233, 0.4152, 0.41, 0.4067, 0.4062, 0.4063, 0.4079, 0.4091, 0.4111",\
"0.5017, 0.4957, 0.4865, 0.4805, 0.4789, 0.4771, 0.4772, 0.4777, 0.4796, 0.4816",\
"0.5838, 0.5775, 0.5686, 0.5615, 0.5583, 0.5586, 0.5586, 0.5573, 0.5589, 0.5623",\
"0.6733, 0.6667, 0.6572, 0.6491, 0.6449, 0.6441, 0.6467, 0.6469, 0.6463, 0.6487",\
"0.7702, 0.7633, 0.7533, 0.7454, 0.7408, 0.7392, 0.7393, 0.7427, 0.7439, 0.7459");
}
}
internal_power() {
related_pin : "SETN" ;
when : "CLKN&!D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.2321, 0.2265, 0.2202, 0.2157, 0.2139, 0.2136, 0.2149, 0.2162, 0.2192, 0.2203",\
"0.2321, 0.2265, 0.2196, 0.2155, 0.2142, 0.2139, 0.2156, 0.2162, 0.2192, 0.2206",\
"0.2336, 0.2281, 0.2214, 0.2171, 0.2153, 0.2155, 0.2164, 0.2177, 0.2211, 0.2211",\
"0.2414, 0.2359, 0.2291, 0.2247, 0.2229, 0.2226, 0.224, 0.2249, 0.2279, 0.2294",\
"0.2595, 0.2542, 0.246, 0.2414, 0.2395, 0.2384, 0.2399, 0.2412, 0.2442, 0.2467",\
"0.2878, 0.2821, 0.2739, 0.2693, 0.2658, 0.2645, 0.2664, 0.2673, 0.2682, 0.27",\
"0.3266, 0.3204, 0.3114, 0.3044, 0.3032, 0.3014, 0.3009, 0.3019, 0.3045, 0.3076",\
"0.3703, 0.3634, 0.3535, 0.3457, 0.3419, 0.3421, 0.3424, 0.3433, 0.3434, 0.345",\
"0.4176, 0.4106, 0.4001, 0.3917, 0.3867, 0.3848, 0.3873, 0.3875, 0.3876, 0.3885",\
"0.4691, 0.4617, 0.4506, 0.4414, 0.4366, 0.4335, 0.4324, 0.4362, 0.437, 0.4376");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.2321, 0.2265, 0.2202, 0.2157, 0.2139, 0.2136, 0.2149, 0.2162, 0.2192, 0.2203",\
"0.2321, 0.2265, 0.2196, 0.2155, 0.2142, 0.2139, 0.2156, 0.2162, 0.2192, 0.2206",\
"0.2336, 0.2281, 0.2214, 0.2171, 0.2153, 0.2155, 0.2164, 0.2177, 0.2211, 0.2211",\
"0.2414, 0.2359, 0.2291, 0.2247, 0.2229, 0.2226, 0.224, 0.2249, 0.2279, 0.2294",\
"0.2595, 0.2542, 0.246, 0.2414, 0.2395, 0.2384, 0.2399, 0.2412, 0.2442, 0.2467",\
"0.2878, 0.2821, 0.2739, 0.2693, 0.2658, 0.2645, 0.2664, 0.2673, 0.2682, 0.27",\
"0.3266, 0.3204, 0.3114, 0.3044, 0.3032, 0.3014, 0.3009, 0.3019, 0.3045, 0.3076",\
"0.3703, 0.3634, 0.3535, 0.3457, 0.3419, 0.3421, 0.3424, 0.3433, 0.3434, 0.345",\
"0.4176, 0.4106, 0.4001, 0.3917, 0.3867, 0.3848, 0.3873, 0.3875, 0.3876, 0.3885",\
"0.4691, 0.4617, 0.4506, 0.4414, 0.4366, 0.4335, 0.4324, 0.4362, 0.437, 0.4376");
}
}
internal_power() {
related_pin : "SETN" ;
when : "CLKN&D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.2314, 0.2262, 0.2192, 0.2149, 0.2129, 0.213, 0.2147, 0.2156, 0.2165, 0.2183",\
"0.2315, 0.2261, 0.2192, 0.2149, 0.2131, 0.2129, 0.2144, 0.2157, 0.2184, 0.2198",\
"0.2331, 0.2279, 0.2206, 0.2164, 0.2145, 0.2145, 0.2159, 0.2169, 0.2181, 0.2199",\
"0.2409, 0.2357, 0.2285, 0.2241, 0.2222, 0.2224, 0.2232, 0.2245, 0.2257, 0.2296",\
"0.2588, 0.2533, 0.2452, 0.2405, 0.2385, 0.2386, 0.2393, 0.2403, 0.2418, 0.2451",\
"0.2872, 0.2812, 0.2732, 0.2685, 0.2652, 0.2648, 0.2652, 0.2668, 0.2684, 0.2717",\
"0.3261, 0.3196, 0.3106, 0.3036, 0.3025, 0.3011, 0.3012, 0.3018, 0.303, 0.3049",\
"0.3698, 0.3631, 0.3531, 0.3456, 0.342, 0.3418, 0.3426, 0.3421, 0.3426, 0.3438",\
"0.4173, 0.41, 0.3995, 0.391, 0.3864, 0.3839, 0.3858, 0.3864, 0.3872, 0.3881",\
"0.4687, 0.461, 0.4499, 0.4408, 0.4346, 0.4317, 0.4333, 0.4346, 0.4365, 0.4389");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.2314, 0.2262, 0.2192, 0.2149, 0.2129, 0.213, 0.2147, 0.2156, 0.2165, 0.2183",\
"0.2315, 0.2261, 0.2192, 0.2149, 0.2131, 0.2129, 0.2144, 0.2157, 0.2184, 0.2198",\
"0.2331, 0.2279, 0.2206, 0.2164, 0.2145, 0.2145, 0.2159, 0.2169, 0.2181, 0.2199",\
"0.2409, 0.2357, 0.2285, 0.2241, 0.2222, 0.2224, 0.2232, 0.2245, 0.2257, 0.2296",\
"0.2588, 0.2533, 0.2452, 0.2405, 0.2385, 0.2386, 0.2393, 0.2403, 0.2418, 0.2451",\
"0.2872, 0.2812, 0.2732, 0.2685, 0.2652, 0.2648, 0.2652, 0.2668, 0.2684, 0.2717",\
"0.3261, 0.3196, 0.3106, 0.3036, 0.3025, 0.3011, 0.3012, 0.3018, 0.303, 0.3049",\
"0.3698, 0.3631, 0.3531, 0.3456, 0.342, 0.3418, 0.3426, 0.3421, 0.3426, 0.3438",\
"0.4173, 0.41, 0.3995, 0.391, 0.3864, 0.3839, 0.3858, 0.3864, 0.3872, 0.3881",\
"0.4687, 0.461, 0.4499, 0.4408, 0.4346, 0.4317, 0.4333, 0.4346, 0.4365, 0.4389");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : falling_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.5595, 0.5865, 0.6534, 0.7503, 0.8797, 1.052, 1.278, 1.562, 1.91, 2.324",\
"0.5683, 0.5954, 0.6623, 0.7592, 0.8886, 1.061, 1.287, 1.571, 1.919, 2.333",\
"0.6053, 0.6323, 0.6991, 0.7961, 0.9255, 1.098, 1.324, 1.608, 1.956, 2.37",\
"0.6785, 0.7057, 0.7725, 0.8694, 0.9989, 1.172, 1.397, 1.681, 2.029, 2.443",\
"0.7762, 0.8032, 0.8701, 0.967, 1.096, 1.269, 1.495, 1.779, 2.126, 2.541",\
"0.8936, 0.9206, 0.9875, 1.084, 1.214, 1.387, 1.612, 1.897, 2.244, 2.658",\
"1.03, 1.057, 1.124, 1.221, 1.35, 1.523, 1.749, 2.033, 2.38, 2.794",\
"1.183, 1.211, 1.277, 1.374, 1.504, 1.677, 1.902, 2.186, 2.534, 2.948",\
"1.355, 1.382, 1.449, 1.546, 1.676, 1.849, 2.074, 2.358, 2.705, 3.12",\
"1.546, 1.573, 1.64, 1.737, 1.866, 2.039, 2.265, 2.549, 2.896, 3.31");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.5883, 0.6148, 0.6915, 0.8277, 1.04, 1.339, 1.733, 2.229, 2.835, 3.559",\
"0.5972, 0.6237, 0.7004, 0.8367, 1.049, 1.348, 1.742, 2.238, 2.844, 3.567",\
"0.6348, 0.6614, 0.738, 0.8743, 1.087, 1.386, 1.779, 2.276, 2.882, 3.606",\
"0.7092, 0.7356, 0.8122, 0.9488, 1.161, 1.46, 1.854, 2.35, 2.956, 3.68",\
"0.8089, 0.8353, 0.912, 1.048, 1.261, 1.56, 1.953, 2.45, 3.056, 3.78",\
"0.9296, 0.9559, 1.032, 1.169, 1.381, 1.68, 2.074, 2.57, 3.176, 3.9",\
"1.068, 1.095, 1.172, 1.308, 1.52, 1.819, 2.213, 2.709, 3.316, 4.04",\
"1.225, 1.251, 1.328, 1.464, 1.677, 1.975, 2.369, 2.865, 3.472, 4.194",\
"1.397, 1.423, 1.5, 1.637, 1.849, 2.148, 2.542, 3.038, 3.644, 4.367",\
"1.587, 1.613, 1.69, 1.826, 2.039, 2.338, 2.731, 3.227, 3.833, 4.555");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.07924, 0.1047, 0.1715, 0.2861, 0.4677, 0.7407, 1.113, 1.584, 2.163, 2.854",\
"0.07924, 0.1047, 0.1716, 0.286, 0.4677, 0.7414, 1.112, 1.585, 2.163, 2.854",\
"0.0792, 0.1047, 0.1716, 0.2862, 0.4682, 0.7408, 1.113, 1.585, 2.163, 2.854",\
"0.07958, 0.1044, 0.1717, 0.2862, 0.4684, 0.7412, 1.112, 1.584, 2.16, 2.853",\
"0.0788, 0.1042, 0.1714, 0.286, 0.4684, 0.742, 1.113, 1.584, 2.161, 2.851",\
"0.0794, 0.1048, 0.1716, 0.2862, 0.4676, 0.7412, 1.113, 1.585, 2.163, 2.854",\
"0.0794, 0.1044, 0.1718, 0.2862, 0.4678, 0.7408, 1.112, 1.585, 2.159, 2.854",\
"0.079, 0.1044, 0.1718, 0.2862, 0.4676, 0.7418, 1.112, 1.582, 2.159, 2.848",\
"0.0794, 0.1046, 0.1718, 0.2864, 0.468, 0.7422, 1.111, 1.584, 2.158, 2.845",\
"0.0796, 0.1048, 0.1722, 0.2864, 0.468, 0.7404, 1.112, 1.583, 2.158, 2.845");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.06944, 0.101, 0.2137, 0.4436, 0.8126, 1.334, 2.02, 2.881, 3.935, 5.202",\
"0.06866, 0.1007, 0.2137, 0.4431, 0.8123, 1.334, 2.02, 2.881, 3.935, 5.192",\
"0.06868, 0.101, 0.2138, 0.443, 0.8124, 1.332, 2.018, 2.882, 3.937, 5.192",\
"0.06954, 0.1011, 0.2138, 0.4432, 0.8124, 1.334, 2.02, 2.881, 3.935, 5.202",\
"0.0686, 0.1004, 0.2136, 0.4436, 0.812, 1.334, 2.02, 2.88, 3.935, 5.192",\
"0.0678, 0.1006, 0.2138, 0.4436, 0.8118, 1.334, 2.02, 2.88, 3.935, 5.203",\
"0.0684, 0.1006, 0.2136, 0.4434, 0.8118, 1.333, 2.017, 2.885, 3.941, 5.195",\
"0.0678, 0.1, 0.2134, 0.4434, 0.8126, 1.332, 2.018, 2.879, 3.942, 5.192",\
"0.0682, 0.1006, 0.2134, 0.4432, 0.8126, 1.333, 2.016, 2.879, 3.939, 5.195",\
"0.0684, 0.0998, 0.2134, 0.443, 0.8124, 1.333, 2.017, 2.881, 3.933, 5.198");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "CLKN===1'b0 && D===1'b0" ;
timing_sense : negative_unate ;
timing_type : preset ;
when : "!CLKN&!D" ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.4974, 0.5236, 0.5999, 0.7352, 0.9472, 1.246, 1.64, 2.137, 2.744, 3.466",\
"0.5068, 0.5328, 0.6092, 0.7444, 0.9564, 1.255, 1.65, 2.146, 2.753, 3.476",\
"0.5429, 0.5692, 0.6453, 0.7806, 0.9926, 1.291, 1.686, 2.183, 2.789, 3.51",\
"0.617, 0.6432, 0.7192, 0.8545, 1.067, 1.365, 1.759, 2.256, 2.863, 3.585",\
"0.7222, 0.7484, 0.8243, 0.9594, 1.171, 1.47, 1.864, 2.361, 2.967, 3.69",\
"0.8473, 0.8736, 0.9499, 1.085, 1.296, 1.595, 1.988, 2.486, 3.091, 3.814",\
"0.9883, 1.015, 1.091, 1.225, 1.437, 1.735, 2.128, 2.625, 3.231, 3.955",\
"1.142, 1.168, 1.245, 1.379, 1.59, 1.888, 2.281, 2.777, 3.384, 4.107",\
"1.308, 1.335, 1.411, 1.545, 1.756, 2.054, 2.447, 2.943, 3.548, 4.271",\
"1.487, 1.514, 1.59, 1.724, 1.934, 2.232, 2.626, 3.121, 3.727, 4.449");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.06702, 0.09932, 0.2116, 0.4412, 0.8117, 1.334, 2.02, 2.885, 3.944, 5.195",\
"0.0671, 0.09924, 0.2118, 0.4412, 0.8116, 1.334, 2.021, 2.885, 3.943, 5.195",\
"0.06698, 0.09916, 0.212, 0.4412, 0.8112, 1.333, 2.021, 2.887, 3.937, 5.191",\
"0.06742, 0.09938, 0.2116, 0.4412, 0.811, 1.333, 2.021, 2.887, 3.937, 5.193",\
"0.0676, 0.0994, 0.2128, 0.4416, 0.8114, 1.334, 2.019, 2.885, 3.936, 5.198",\
"0.0688, 0.1004, 0.2128, 0.4412, 0.8112, 1.333, 2.019, 2.886, 3.937, 5.197",\
"0.0702, 0.1016, 0.2134, 0.4418, 0.8114, 1.331, 2.017, 2.884, 3.937, 5.203",\
"0.0708, 0.1022, 0.214, 0.4422, 0.8122, 1.331, 2.016, 2.883, 3.941, 5.196",\
"0.0722, 0.103, 0.2144, 0.442, 0.811, 1.333, 2.016, 2.879, 3.94, 5.191",\
"0.0724, 0.1042, 0.2148, 0.442, 0.811, 1.332, 2.017, 2.881, 3.932, 5.193");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "CLKN===1'b0 && D===1'b1" ;
timing_sense : negative_unate ;
timing_type : preset ;
when : "!CLKN&D" ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.4974, 0.5236, 0.6, 0.7354, 0.9474, 1.246, 1.64, 2.137, 2.743, 3.466",\
"0.5067, 0.5328, 0.6091, 0.7445, 0.9565, 1.255, 1.649, 2.146, 2.752, 3.476",\
"0.5429, 0.5692, 0.6453, 0.7806, 0.9926, 1.291, 1.686, 2.183, 2.789, 3.511",\
"0.6168, 0.6432, 0.7192, 0.8546, 1.067, 1.365, 1.759, 2.256, 2.863, 3.585",\
"0.7221, 0.7483, 0.8243, 0.9595, 1.171, 1.47, 1.864, 2.361, 2.967, 3.69",\
"0.8473, 0.8739, 0.9499, 1.085, 1.296, 1.595, 1.989, 2.486, 3.092, 3.814",\
"0.9883, 1.015, 1.091, 1.225, 1.437, 1.735, 2.128, 2.625, 3.231, 3.954",\
"1.142, 1.168, 1.245, 1.379, 1.59, 1.888, 2.282, 2.778, 3.384, 4.108",\
"1.308, 1.335, 1.411, 1.545, 1.756, 2.054, 2.447, 2.943, 3.549, 4.271",\
"1.487, 1.514, 1.59, 1.724, 1.934, 2.232, 2.625, 3.121, 3.728, 4.449");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.06672, 0.09892, 0.2118, 0.4413, 0.811, 1.333, 2.018, 2.883, 3.94, 5.203",\
"0.06706, 0.09932, 0.2116, 0.4412, 0.8125, 1.334, 2.02, 2.882, 3.94, 5.203",\
"0.06698, 0.09916, 0.212, 0.4412, 0.8112, 1.333, 2.021, 2.887, 3.937, 5.192",\
"0.06768, 0.09936, 0.212, 0.4412, 0.8112, 1.333, 2.021, 2.887, 3.937, 5.193",\
"0.0676, 0.0996, 0.2122, 0.4416, 0.8112, 1.334, 2.018, 2.885, 3.936, 5.198",\
"0.0684, 0.1002, 0.2126, 0.4412, 0.8112, 1.332, 2.021, 2.881, 3.937, 5.193",\
"0.07, 0.1018, 0.2136, 0.4412, 0.8112, 1.333, 2.018, 2.884, 3.935, 5.194",\
"0.0708, 0.1022, 0.2138, 0.4418, 0.8122, 1.331, 2.017, 2.884, 3.933, 5.202",\
"0.0714, 0.1032, 0.2142, 0.4418, 0.8122, 1.333, 2.018, 2.88, 3.938, 5.191",\
"0.0728, 0.1038, 0.2144, 0.4418, 0.8122, 1.333, 2.016, 2.88, 3.932, 5.197");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "CLKN===1'b1 && D===1'b0" ;
timing_sense : negative_unate ;
timing_type : preset ;
when : "CLKN&!D" ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.4439, 0.4698, 0.5464, 0.6821, 0.8941, 1.193, 1.587, 2.085, 2.69, 3.412",\
"0.4533, 0.4792, 0.5557, 0.6914, 0.9036, 1.203, 1.597, 2.094, 2.699, 3.423",\
"0.4892, 0.5154, 0.5919, 0.7276, 0.9396, 1.239, 1.633, 2.13, 2.737, 3.461",\
"0.5601, 0.5863, 0.6628, 0.7983, 1.01, 1.309, 1.704, 2.201, 2.806, 3.529",\
"0.6556, 0.6818, 0.7579, 0.8933, 1.105, 1.404, 1.798, 2.295, 2.902, 3.626",\
"0.768, 0.7946, 0.8707, 1.006, 1.217, 1.516, 1.91, 2.407, 3.014, 3.736",\
"0.8939, 0.9207, 0.9969, 1.132, 1.343, 1.641, 2.035, 2.531, 3.138, 3.859",\
"1.031, 1.058, 1.135, 1.269, 1.48, 1.778, 2.171, 2.667, 3.273, 3.996",\
"1.18, 1.207, 1.284, 1.418, 1.628, 1.926, 2.319, 2.815, 3.42, 4.142",\
"1.339, 1.366, 1.443, 1.577, 1.787, 2.084, 2.477, 2.972, 3.578, 4.3");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.06662, 0.09906, 0.2115, 0.441, 0.8113, 1.333, 2.019, 2.885, 3.942, 5.203",\
"0.06688, 0.0991, 0.2116, 0.4412, 0.8118, 1.334, 2.02, 2.887, 3.941, 5.2",\
"0.06672, 0.0992, 0.2115, 0.4414, 0.8108, 1.333, 2.019, 2.884, 3.938, 5.207",\
"0.0675, 0.09918, 0.2117, 0.4409, 0.8108, 1.333, 2.02, 2.882, 3.941, 5.202",\
"0.0676, 0.0998, 0.2124, 0.4412, 0.8118, 1.334, 2.019, 2.884, 3.938, 5.196",\
"0.0688, 0.1004, 0.213, 0.4414, 0.8106, 1.334, 2.02, 2.882, 3.939, 5.204",\
"0.0714, 0.1026, 0.2142, 0.442, 0.8106, 1.331, 2.019, 2.884, 3.942, 5.199",\
"0.0736, 0.1046, 0.2158, 0.442, 0.8114, 1.334, 2.016, 2.88, 3.941, 5.194",\
"0.0754, 0.107, 0.2164, 0.442, 0.8108, 1.333, 2.02, 2.879, 3.933, 5.189",\
"0.0772, 0.109, 0.2176, 0.4432, 0.811, 1.333, 2.018, 2.879, 3.932, 5.195");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "CLKN===1'b1 && D===1'b1" ;
timing_sense : negative_unate ;
timing_type : preset ;
when : "CLKN&D" ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.444, 0.4702, 0.5465, 0.6822, 0.8944, 1.193, 1.588, 2.085, 2.692, 3.416",\
"0.4535, 0.4796, 0.5559, 0.6916, 0.9037, 1.203, 1.597, 2.094, 2.7, 3.422",\
"0.4896, 0.5158, 0.5919, 0.7277, 0.9398, 1.239, 1.633, 2.13, 2.737, 3.461",\
"0.5605, 0.5867, 0.6629, 0.7985, 1.011, 1.309, 1.703, 2.2, 2.808, 3.532",\
"0.6557, 0.682, 0.7579, 0.8932, 1.105, 1.404, 1.798, 2.294, 2.903, 3.626",\
"0.768, 0.7944, 0.8707, 1.006, 1.217, 1.516, 1.91, 2.407, 3.013, 3.735",\
"0.8943, 0.9209, 0.9971, 1.132, 1.343, 1.642, 2.035, 2.532, 3.139, 3.862",\
"1.032, 1.059, 1.135, 1.269, 1.48, 1.778, 2.171, 2.667, 3.273, 3.998",\
"1.18, 1.207, 1.284, 1.418, 1.628, 1.926, 2.319, 2.815, 3.42, 4.143",\
"1.339, 1.366, 1.443, 1.577, 1.786, 2.084, 2.477, 2.972, 3.578, 4.3");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.0666, 0.09908, 0.2113, 0.4414, 0.8112, 1.331, 2.02, 2.885, 3.939, 5.198",\
"0.06658, 0.09898, 0.2116, 0.4409, 0.8111, 1.333, 2.019, 2.882, 3.942, 5.203",\
"0.06734, 0.09902, 0.2112, 0.4414, 0.8114, 1.331, 2.02, 2.885, 3.939, 5.198",\
"0.06678, 0.09918, 0.2117, 0.4411, 0.8108, 1.333, 2.019, 2.883, 3.939, 5.197",\
"0.068, 0.0994, 0.2124, 0.4414, 0.8112, 1.332, 2.017, 2.881, 3.939, 5.197",\
"0.0688, 0.1008, 0.213, 0.442, 0.8118, 1.334, 2.02, 2.882, 3.945, 5.198",\
"0.071, 0.1026, 0.214, 0.442, 0.8106, 1.332, 2.018, 2.879, 3.944, 5.205",\
"0.0734, 0.1048, 0.2152, 0.4424, 0.8124, 1.332, 2.016, 2.885, 3.941, 5.2",\
"0.0756, 0.1068, 0.2166, 0.4426, 0.8112, 1.333, 2.016, 2.879, 3.933, 5.189",\
"0.0776, 0.108, 0.2176, 0.4428, 0.811, 1.333, 2.018, 2.88, 3.934, 5.195");
}
}
timing() {
related_pin : "SETN" ;
timing_sense : negative_unate ;
timing_type : preset ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.4974, 0.5236, 0.6, 0.7354, 0.9474, 1.246, 1.64, 2.137, 2.743, 3.466",\
"0.5067, 0.5328, 0.6091, 0.7445, 0.9565, 1.255, 1.649, 2.146, 2.752, 3.476",\
"0.5429, 0.5692, 0.6453, 0.7806, 0.9926, 1.291, 1.686, 2.183, 2.789, 3.511",\
"0.6168, 0.6432, 0.7192, 0.8546, 1.067, 1.365, 1.759, 2.256, 2.863, 3.585",\
"0.7221, 0.7483, 0.8243, 0.9595, 1.171, 1.47, 1.864, 2.361, 2.967, 3.69",\
"0.8473, 0.8739, 0.9499, 1.085, 1.296, 1.595, 1.989, 2.486, 3.092, 3.814",\
"0.9883, 1.015, 1.091, 1.225, 1.437, 1.735, 2.128, 2.625, 3.231, 3.954",\
"1.142, 1.168, 1.245, 1.379, 1.59, 1.888, 2.282, 2.778, 3.384, 4.108",\
"1.308, 1.335, 1.411, 1.545, 1.756, 2.054, 2.447, 2.943, 3.549, 4.271",\
"1.487, 1.514, 1.59, 1.724, 1.934, 2.232, 2.625, 3.121, 3.728, 4.449");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.001, 0.005891, 0.02306, 0.05585, 0.1069, 0.1786, 0.2729, 0.3917, 0.5368, 0.7097");
values("0.06662, 0.09906, 0.2115, 0.441, 0.8113, 1.333, 2.019, 2.885, 3.942, 5.203",\
"0.06688, 0.0991, 0.2116, 0.4412, 0.8118, 1.334, 2.02, 2.887, 3.941, 5.2",\
"0.06672, 0.0992, 0.2115, 0.4414, 0.8108, 1.333, 2.019, 2.884, 3.938, 5.207",\
"0.0675, 0.09918, 0.2117, 0.4409, 0.8108, 1.333, 2.02, 2.882, 3.941, 5.202",\
"0.0676, 0.0998, 0.2124, 0.4412, 0.8118, 1.334, 2.019, 2.884, 3.938, 5.196",\
"0.0688, 0.1004, 0.213, 0.4414, 0.8106, 1.334, 2.02, 2.882, 3.939, 5.204",\
"0.0714, 0.1026, 0.2142, 0.442, 0.8106, 1.331, 2.019, 2.884, 3.942, 5.199",\
"0.0736, 0.1046, 0.2158, 0.442, 0.8114, 1.334, 2.016, 2.88, 3.941, 5.194",\
"0.0754, 0.107, 0.2164, 0.442, 0.8108, 1.333, 2.02, 2.879, 3.933, 5.189",\
"0.0772, 0.109, 0.2176, 0.4432, 0.811, 1.333, 2.018, 2.879, 3.932, 5.195");
}
}
}
pin(SETN) {
capacitance : 0.006362 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 5.2 ;
min_pulse_width_low : 0.332 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLKN&!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.02501, 0.02495, 0.02491, 0.02491, 0.02489, 0.02492, 0.02488, \
0.02491, 0.02495, 0.0249");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.01382, -0.01382, -0.01383, -0.01386, -0.0139, -0.01395, -0.01396, \
-0.01398, -0.01395, -0.01401");
}
}
internal_power() {
when : "!CLKN&D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.02502, 0.025, 0.02494, 0.02487, 0.02491, 0.0249, 0.02496, 0.0249, \
0.02495, 0.02491");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.01382, -0.01382, -0.01383, -0.01386, -0.01386, -0.01393, -0.01397, \
-0.01397, -0.01394, -0.014");
}
}
internal_power() {
when : "CLKN&!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.07269, 0.07239, 0.07407, 0.08128, 0.09559, 0.1181, 0.149, 0.189, \
0.2384, 0.2977");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.01567, 0.01543, 0.01674, 0.02255, 0.03472, 0.05404, 0.08108, \
0.1162, 0.1598, 0.2125");
}
}
internal_power() {
when : "CLKN&D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.02501, 0.02493, 0.02487, 0.02484, 0.02488, 0.02493, 0.02492, \
0.02493, 0.02494, 0.02491");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("-0.01383, -0.01382, -0.01387, -0.01382, -0.01387, -0.01395, -0.01396, \
-0.01395, -0.01399, -0.01401");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : recovery_falling ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.063, 0.057, 0.022, -0.056, -0.141, -0.235, -0.362, -0.494, -0.642, -0.811",\
"0.072, 0.064, 0.026, -0.05, -0.133, -0.239, -0.354, -0.49, -0.636, -0.814",\
"0.091, 0.088, 0.041, -0.023, -0.109, -0.211, -0.334, -0.465, -0.618, -0.788",\
"0.142, 0.127, 0.087, 0.02, -0.065, -0.173, -0.286, -0.429, -0.581, -0.748",\
"0.211, 0.201, 0.16, 0.092, 0, -0.097, -0.225, -0.366, -0.518, -0.69",\
"0.326, 0.316, 0.277, 0.201, 0.108, 0, -0.135, -0.274, -0.428, -0.598",\
"0.545, 0.53, 0.472, 0.394, 0.275, 0.161, 0.015, -0.142, -0.295, -0.465",\
"0.865, 0.858, 0.792, 0.68, 0.542, 0.411, 0.245, 0.078, -0.084, -0.263",\
"1.266, 1.255, 1.163, 1.037, 0.899, 0.739, 0.544, 0.375, 0.191, 0.013",\
"1.724, 1.714, 1.621, 1.499, 1.321, 1.162, 0.938, 0.762, 0.566, 0.368");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : removal_falling ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
index_2("0.02, 0.05575, 0.1813, 0.4209, 0.7943, 1.318, 2.008, 2.876, 3.936, 5.2");
values("0.115, 0.123, 0.161, 0.228, 0.318, 0.431, 0.555, 0.702, 0.861, 1.036",\
"0.108, 0.113, 0.154, 0.224, 0.316, 0.423, 0.551, 0.693, 0.856, 1.028",\
"0.083, 0.101, 0.129, 0.201, 0.294, 0.409, 0.535, 0.675, 0.839, 1.012",\
"0.063, 0.068, 0.108, 0.176, 0.273, 0.379, 0.514, 0.655, 0.815, 0.995",\
"0.036, 0.045, 0.077, 0.15, 0.243, 0.358, 0.491, 0.634, 0.794, 0.976",\
"0.013, 0.023, 0.054, 0.127, 0.22, 0.333, 0.464, 0.614, 0.778, 0.955",\
"-0.012, 0.001, 0.034, 0.105, 0.206, 0.319, 0.452, 0.595, 0.762, 0.938",\
"-0.02, -0.01, 0.026, 0.097, 0.196, 0.306, 0.442, 0.593, 0.757, 0.938",\
"-0.029, -0.017, 0.019, 0.09, 0.19, 0.309, 0.447, 0.596, 0.763, 0.946",\
"-0.027, -0.019, 0.019, 0.094, 0.197, 0.316, 0.455, 0.607, 0.779, 0.962");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "ENABLE_NOT_CLKN_AND_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!CLKN&!D" ;
fall_constraint(scalar) {
values("0.332");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "ENABLE_NOT_CLKN_AND_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!CLKN&D" ;
fall_constraint(scalar) {
values("0.332");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "ENABLE_CLKN_AND_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "CLKN&!D" ;
fall_constraint(scalar) {
values("0.332");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "ENABLE_CLKN_AND_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "CLKN&D" ;
fall_constraint(scalar) {
values("0.332");
}
}
}
}