blob: 5c99fa22cd0d7a1397e11ad21ceaed34e87d2b6d [file] [log] [blame]
/*
* Copyright 2022 GlobalFoundries PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*/
cell(gf180mcu_fd_sc_mcu7t5v0__dffnsnq_1) {
area : 79.027200 ;
ff(IQ1,IQN1) {
clocked_on : "(!CLKN)" ;
next_state : "D" ;
preset : "(!SETN)" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&!D&!SETN" ;
value : "0.02577795" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&!D&SETN" ;
value : "0.0259171" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&D&!SETN" ;
value : "0.0118063" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "!CLKN&D&SETN" ;
value : "0.027368" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&!D&!SETN" ;
value : "0.03201825" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&!D&SETN" ;
value : "0.0365409" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&D&!SETN" ;
value : "0.01172325" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
when : "CLKN&D&SETN" ;
value : "0.0272767" ;
}
leakage_power() {
related_pg_pin : "VDD" ;
value : "0.0365409" ;
}
pg_pin(VDD) {
voltage_name : VDD ;
pg_type : primary_power ;
}
pg_pin(VSS) {
voltage_name : VSS ;
pg_type : primary_ground ;
}
pin(CLKN) {
capacitance : 0.003772 ;
clock : true ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 3.4 ;
min_period : 0.903 ;
min_pulse_width_high : 0.451 ;
min_pulse_width_low : 0.395 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!D&!SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.4761, 0.4762, 0.4843, 0.5185, 0.5906, 0.7017, 0.8537, 1.047, \
1.284, 1.565");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.3846, 0.3845, 0.3906, 0.4203, 0.4813, 0.5767, 0.7083, 0.8778, \
1.086, 1.336");
}
}
internal_power() {
when : "!D&SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.3971, 0.3972, 0.4051, 0.4371, 0.5026, 0.6035, 0.7418, 0.9188, \
1.136, 1.396");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.2754, 0.2753, 0.2813, 0.3082, 0.3633, 0.4499, 0.5702, 0.7269, \
0.92, 1.152");
}
}
internal_power() {
when : "D&!SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.4037, 0.4038, 0.4118, 0.4439, 0.5089, 0.6098, 0.7479, 0.9253, \
1.143, 1.402");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.2776, 0.2775, 0.2836, 0.3107, 0.3652, 0.4522, 0.5728, 0.7289, \
0.9222, 1.154");
}
}
internal_power() {
when : "D&SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.4036, 0.4037, 0.4117, 0.4437, 0.5089, 0.6097, 0.7481, 0.9252, \
1.143, 1.402");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.2774, 0.2776, 0.2835, 0.3105, 0.3652, 0.4521, 0.5729, 0.7289, \
0.9219, 1.154");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_NOT_D_AND_SETN === 1'b1" ;
timing_type : min_pulse_width ;
when : "!D&SETN" ;
fall_constraint(scalar) {
values("0.395");
}
rise_constraint(scalar) {
values("0.451");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_D_AND_SETN === 1'b1" ;
timing_type : min_pulse_width ;
when : "D&SETN" ;
fall_constraint(scalar) {
values("0.378");
}
rise_constraint(scalar) {
values("0.373");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_NOT_D_AND_SETN === 1'b1" ;
timing_type : minimum_period ;
when : "!D&SETN" ;
fall_constraint(scalar) {
values("0.903");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_D_AND_SETN === 1'b1" ;
timing_type : minimum_period ;
when : "D&SETN" ;
fall_constraint(scalar) {
values("0.894");
}
}
}
pin(D) {
capacitance : 0.002667 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 3.4 ;
nextstate_type : data ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLKN&!SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.07738, 0.07829, 0.08843, 0.1146, 0.1598, 0.2253, 0.3129, 0.4239, \
0.5602, 0.7231");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.006384, 0.006674, 0.01348, 0.03427, 0.07221, 0.1289, 0.2056, \
0.3035, 0.4239, 0.5681");
}
}
internal_power() {
when : "!CLKN&SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.07747, 0.0783, 0.08843, 0.1145, 0.1597, 0.2252, 0.3128, 0.424, \
0.5602, 0.7231");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.006358, 0.006688, 0.01352, 0.03418, 0.07217, 0.1289, 0.2056, \
0.3035, 0.424, 0.5681");
}
}
internal_power() {
when : "CLKN&!SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.1846, 0.1854, 0.1925, 0.213, 0.2507, 0.3097, 0.3916, 0.4979, \
0.6305, 0.7901");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.07035, 0.07068, 0.07606, 0.0922, 0.1231, 0.1725, 0.2419, 0.3335, \
0.4482, 0.5874");
}
}
internal_power() {
when : "CLKN&SETN" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.2818, 0.2825, 0.29, 0.313, 0.3585, 0.4295, 0.5277, 0.6542, 0.8098, \
0.9961");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.2256, 0.2259, 0.2315, 0.2505, 0.2876, 0.3461, 0.428, 0.535, \
0.6681, 0.8284");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_SETN === 1'b1" ;
timing_type : hold_falling ;
when : "SETN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.04, 0.044, 0.064, 0.102, 0.15, 0.21, 0.273, 0.352, 0.446, 0.542",\
"0.036, 0.04, 0.059, 0.094, 0.145, 0.203, 0.268, 0.344, 0.442, 0.536",\
"0.013, 0.014, 0.029, 0.073, 0.122, 0.178, 0.238, 0.316, 0.409, 0.508",\
"-0.033, -0.028, -0.01, 0.023, 0.072, 0.128, 0.192, 0.268, 0.358, 0.457",\
"-0.089, -0.082, -0.07, -0.031, 0.012, 0.063, 0.119, 0.191, 0.28, 0.376",\
"-0.162, -0.153, -0.142, -0.101, -0.063, -0.009, 0.04, 0.11, 0.191, 0.281",\
"-0.243, -0.238, -0.222, -0.183, -0.148, -0.103, -0.054, 0.006, 0.09, 0.169",\
"-0.331, -0.329, -0.316, -0.279, -0.247, -0.209, -0.16, -0.104, -0.032, 0.044",\
"-0.44, -0.429, -0.423, -0.387, -0.359, -0.321, -0.285, -0.233, -0.165, -0.097",\
"-0.551, -0.545, -0.535, -0.513, -0.484, -0.456, -0.426, -0.376, -0.313, -0.249");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.12, 0.13, 0.149, 0.193, 0.264, 0.34, 0.431, 0.53, 0.641, 0.767",\
"0.116, 0.125, 0.149, 0.189, 0.255, 0.335, 0.428, 0.529, 0.638, 0.762",\
"0.105, 0.112, 0.136, 0.18, 0.251, 0.325, 0.422, 0.518, 0.632, 0.757",\
"0.1, 0.105, 0.122, 0.171, 0.237, 0.32, 0.41, 0.507, 0.621, 0.744",\
"0.101, 0.105, 0.127, 0.17, 0.234, 0.32, 0.405, 0.504, 0.619, 0.74",\
"0.108, 0.117, 0.135, 0.184, 0.248, 0.328, 0.419, 0.52, 0.625, 0.748",\
"0.14, 0.151, 0.17, 0.219, 0.277, 0.356, 0.449, 0.55, 0.655, 0.776",\
"0.197, 0.2, 0.223, 0.267, 0.33, 0.406, 0.498, 0.595, 0.698, 0.818",\
"0.285, 0.288, 0.307, 0.352, 0.406, 0.479, 0.563, 0.658, 0.762, 0.883",\
"0.399, 0.403, 0.416, 0.458, 0.508, 0.574, 0.66, 0.742, 0.85, 0.968");
}
}
timing() {
related_pin : "CLKN" ;
sdf_cond : "ENABLE_SETN === 1'b1" ;
timing_type : setup_falling ;
when : "SETN" ;
fall_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.315, 0.308, 0.29, 0.243, 0.185, 0.114, 0.043, -0.037, -0.131, -0.223",\
"0.321, 0.317, 0.291, 0.249, 0.191, 0.123, 0.052, -0.031, -0.124, -0.223",\
"0.349, 0.344, 0.319, 0.273, 0.213, 0.147, 0.074, -0.007, -0.096, -0.197",\
"0.394, 0.388, 0.363, 0.326, 0.26, 0.191, 0.123, 0.04, -0.05, -0.143",\
"0.469, 0.458, 0.439, 0.393, 0.333, 0.271, 0.198, 0.116, 0.028, -0.072",\
"0.562, 0.555, 0.532, 0.492, 0.428, 0.366, 0.293, 0.215, 0.131, 0.027",\
"0.678, 0.672, 0.654, 0.611, 0.553, 0.482, 0.415, 0.335, 0.251, 0.152",\
"0.819, 0.812, 0.785, 0.747, 0.686, 0.626, 0.55, 0.473, 0.387, 0.294",\
"0.976, 0.969, 0.944, 0.906, 0.848, 0.782, 0.714, 0.632, 0.546, 0.455",\
"1.147, 1.144, 1.121, 1.086, 1.025, 0.956, 0.881, 0.805, 0.723, 0.629");
}
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.212, 0.209, 0.182, 0.142, 0.079, 0.017, -0.055, -0.133, -0.218, -0.309",\
"0.216, 0.211, 0.185, 0.149, 0.09, 0.023, -0.055, -0.133, -0.212, -0.31",\
"0.234, 0.222, 0.203, 0.16, 0.102, 0.035, -0.038, -0.113, -0.207, -0.295",\
"0.251, 0.244, 0.218, 0.179, 0.119, 0.052, -0.026, -0.103, -0.189, -0.282",\
"0.279, 0.27, 0.242, 0.202, 0.136, 0.069, 0, -0.09, -0.17, -0.278",\
"0.286, 0.285, 0.255, 0.214, 0.149, 0.085, -0.005, -0.082, -0.173, -0.281",\
"0.295, 0.291, 0.262, 0.227, 0.158, 0.086, 0, -0.087, -0.187, -0.286",\
"0.291, 0.283, 0.263, 0.217, 0.147, 0.075, -0.01, -0.094, -0.194, -0.311",\
"0.272, 0.273, 0.242, 0.197, 0.13, 0.052, -0.027, -0.121, -0.228, -0.335",\
"0.24, 0.24, 0.215, 0.17, 0.092, 0.021, -0.07, -0.157, -0.265, -0.373");
}
}
}
pin(Q) {
direction : output ;
function : "IQ1" ;
max_capacitance : 0.228 ;
max_transition : 3.4 ;
min_capacitance : 0.001 ;
output_voltage : default ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
related_pin : "CLKN" ;
when : "SETN" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.49, 0.4839, 0.4695, 0.4568, 0.448, 0.4423, 0.4384, 0.4357, 0.4337, 0.4322",\
"0.49, 0.4839, 0.4695, 0.4569, 0.448, 0.4423, 0.4384, 0.4357, 0.4337, 0.4323",\
"0.4898, 0.4837, 0.4693, 0.4567, 0.4479, 0.4422, 0.4383, 0.4355, 0.4335, 0.4322",\
"0.4911, 0.4852, 0.4706, 0.458, 0.4492, 0.4436, 0.4396, 0.4369, 0.4349, 0.4335",\
"0.4957, 0.4895, 0.4753, 0.4627, 0.4542, 0.4483, 0.4443, 0.4416, 0.4397, 0.4383",\
"0.5053, 0.499, 0.4847, 0.4721, 0.4635, 0.4578, 0.4538, 0.4511, 0.4491, 0.4476",\
"0.5185, 0.5124, 0.4981, 0.4851, 0.4766, 0.4709, 0.4669, 0.4641, 0.4621, 0.4607",\
"0.5358, 0.5296, 0.5153, 0.5023, 0.4936, 0.4875, 0.4835, 0.4808, 0.4788, 0.4773",\
"0.5559, 0.5497, 0.5351, 0.5219, 0.5129, 0.5069, 0.5029, 0.5001, 0.498, 0.4965",\
"0.5792, 0.5731, 0.5581, 0.5448, 0.5355, 0.5294, 0.5252, 0.5222, 0.5201, 0.5187");
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.5953, 0.59, 0.5797, 0.5699, 0.5636, 0.5593, 0.5573, 0.5558, 0.5561, 0.557",\
"0.5952, 0.59, 0.5798, 0.5695, 0.5637, 0.5591, 0.557, 0.5565, 0.5565, 0.5569",\
"0.5961, 0.5906, 0.5803, 0.5707, 0.5644, 0.5598, 0.5579, 0.5574, 0.5568, 0.5578",\
"0.5989, 0.5935, 0.5831, 0.5734, 0.5671, 0.5628, 0.5607, 0.5604, 0.56, 0.5609",\
"0.6046, 0.5995, 0.5883, 0.5789, 0.5727, 0.5686, 0.5665, 0.5663, 0.5657, 0.5667",\
"0.6138, 0.6085, 0.5979, 0.5879, 0.582, 0.5774, 0.5753, 0.5749, 0.5744, 0.5748",\
"0.6255, 0.6203, 0.6095, 0.6002, 0.5937, 0.5893, 0.5872, 0.5857, 0.5867, 0.5865",\
"0.6395, 0.6343, 0.6234, 0.6138, 0.6076, 0.6035, 0.6004, 0.5998, 0.5999, 0.5997",\
"0.6539, 0.6484, 0.638, 0.6281, 0.6208, 0.6174, 0.6165, 0.6148, 0.6139, 0.6143",\
"0.6693, 0.6637, 0.6531, 0.6433, 0.6359, 0.6323, 0.6309, 0.6314, 0.631, 0.6314");
}
}
internal_power() {
related_pin : "SETN" ;
when : "!CLKN&!D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.7102, 0.7056, 0.6958, 0.687, 0.6802, 0.6759, 0.6738, 0.6735, 0.6732, 0.6736",\
"0.7108, 0.7062, 0.6969, 0.6877, 0.6809, 0.6766, 0.6744, 0.6741, 0.6738, 0.6742",\
"0.7209, 0.7161, 0.7064, 0.6974, 0.6907, 0.6864, 0.6842, 0.6841, 0.6833, 0.6843",\
"0.7575, 0.7529, 0.7434, 0.7343, 0.7273, 0.723, 0.7211, 0.7204, 0.7201, 0.7211",\
"0.8408, 0.8361, 0.8265, 0.817, 0.8098, 0.8053, 0.8028, 0.8021, 0.8016, 0.802",\
"0.9787, 0.9736, 0.9632, 0.9526, 0.9451, 0.9396, 0.9367, 0.9359, 0.9352, 0.9356",\
"1.159, 1.154, 1.143, 1.132, 1.124, 1.117, 1.114, 1.112, 1.111, 1.111",\
"1.357, 1.351, 1.339, 1.327, 1.318, 1.312, 1.308, 1.305, 1.304, 1.303",\
"1.567, 1.562, 1.549, 1.536, 1.525, 1.518, 1.515, 1.513, 1.512, 1.51",\
"1.793, 1.788, 1.775, 1.761, 1.749, 1.741, 1.736, 1.735, 1.734, 1.734");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.7102, 0.7056, 0.6958, 0.687, 0.6802, 0.6759, 0.6738, 0.6735, 0.6732, 0.6736",\
"0.7108, 0.7062, 0.6969, 0.6877, 0.6809, 0.6766, 0.6744, 0.6741, 0.6738, 0.6742",\
"0.7209, 0.7161, 0.7064, 0.6974, 0.6907, 0.6864, 0.6842, 0.6841, 0.6833, 0.6843",\
"0.7575, 0.7529, 0.7434, 0.7343, 0.7273, 0.723, 0.7211, 0.7204, 0.7201, 0.7211",\
"0.8408, 0.8361, 0.8265, 0.817, 0.8098, 0.8053, 0.8028, 0.8021, 0.8016, 0.802",\
"0.9787, 0.9736, 0.9632, 0.9526, 0.9451, 0.9396, 0.9367, 0.9359, 0.9352, 0.9356",\
"1.159, 1.154, 1.143, 1.132, 1.124, 1.117, 1.114, 1.112, 1.111, 1.111",\
"1.357, 1.351, 1.339, 1.327, 1.318, 1.312, 1.308, 1.305, 1.304, 1.303",\
"1.567, 1.562, 1.549, 1.536, 1.525, 1.518, 1.515, 1.513, 1.512, 1.51",\
"1.793, 1.788, 1.775, 1.761, 1.749, 1.741, 1.736, 1.735, 1.734, 1.734");
}
}
internal_power() {
related_pin : "SETN" ;
when : "!CLKN&D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.7102, 0.7056, 0.6958, 0.6871, 0.6803, 0.676, 0.6738, 0.6735, 0.6731, 0.674",\
"0.7109, 0.7067, 0.6965, 0.6878, 0.6809, 0.6767, 0.6745, 0.6742, 0.6737, 0.6741",\
"0.7208, 0.7162, 0.7067, 0.6975, 0.6909, 0.6866, 0.6847, 0.6839, 0.6836, 0.684",\
"0.7575, 0.753, 0.7434, 0.7343, 0.7274, 0.723, 0.7211, 0.7203, 0.72, 0.7209",\
"0.8408, 0.8363, 0.8262, 0.8169, 0.8099, 0.805, 0.8025, 0.8022, 0.8014, 0.8018",\
"0.9786, 0.9735, 0.9634, 0.9525, 0.945, 0.94, 0.9372, 0.9362, 0.9356, 0.9354",\
"1.159, 1.154, 1.143, 1.132, 1.124, 1.118, 1.114, 1.112, 1.111, 1.111",\
"1.357, 1.351, 1.34, 1.327, 1.318, 1.313, 1.308, 1.306, 1.304, 1.303",\
"1.567, 1.562, 1.549, 1.537, 1.526, 1.519, 1.515, 1.513, 1.511, 1.509",\
"1.793, 1.787, 1.774, 1.761, 1.749, 1.741, 1.737, 1.735, 1.734, 1.733");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.7102, 0.7056, 0.6958, 0.6871, 0.6803, 0.676, 0.6738, 0.6735, 0.6731, 0.674",\
"0.7109, 0.7067, 0.6965, 0.6878, 0.6809, 0.6767, 0.6745, 0.6742, 0.6737, 0.6741",\
"0.7208, 0.7162, 0.7067, 0.6975, 0.6909, 0.6866, 0.6847, 0.6839, 0.6836, 0.684",\
"0.7575, 0.753, 0.7434, 0.7343, 0.7274, 0.723, 0.7211, 0.7203, 0.72, 0.7209",\
"0.8408, 0.8363, 0.8262, 0.8169, 0.8099, 0.805, 0.8025, 0.8022, 0.8014, 0.8018",\
"0.9786, 0.9735, 0.9634, 0.9525, 0.945, 0.94, 0.9372, 0.9362, 0.9356, 0.9354",\
"1.159, 1.154, 1.143, 1.132, 1.124, 1.118, 1.114, 1.112, 1.111, 1.111",\
"1.357, 1.351, 1.34, 1.327, 1.318, 1.313, 1.308, 1.306, 1.304, 1.303",\
"1.567, 1.562, 1.549, 1.537, 1.526, 1.519, 1.515, 1.513, 1.511, 1.509",\
"1.793, 1.787, 1.774, 1.761, 1.749, 1.741, 1.737, 1.735, 1.734, 1.733");
}
}
internal_power() {
related_pin : "SETN" ;
when : "CLKN&!D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.4818, 0.4775, 0.4684, 0.4597, 0.4544, 0.4502, 0.4488, 0.448, 0.4486, 0.4484",\
"0.4828, 0.4782, 0.4689, 0.4603, 0.4552, 0.4512, 0.4496, 0.4489, 0.4486, 0.4502",\
"0.4876, 0.4832, 0.4738, 0.4652, 0.4595, 0.4557, 0.4548, 0.4541, 0.4539, 0.4538",\
"0.5064, 0.5019, 0.4925, 0.4838, 0.478, 0.4741, 0.4728, 0.4726, 0.4726, 0.4719",\
"0.5503, 0.5456, 0.5358, 0.5271, 0.5201, 0.5158, 0.5136, 0.5137, 0.5129, 0.5138",\
"0.6229, 0.6183, 0.608, 0.598, 0.5904, 0.5857, 0.5831, 0.5819, 0.5814, 0.5818",\
"0.7178, 0.712, 0.7001, 0.6897, 0.6822, 0.6762, 0.6719, 0.671, 0.6698, 0.6691",\
"0.8219, 0.8163, 0.8036, 0.7909, 0.7811, 0.7758, 0.7712, 0.7692, 0.767, 0.7664",\
"0.9339, 0.9269, 0.9148, 0.9019, 0.8892, 0.882, 0.8786, 0.8764, 0.8744, 0.8743",\
"1.055, 1.049, 1.035, 1.021, 1.009, 1, 0.9942, 0.9926, 0.9916, 0.9904");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.4818, 0.4775, 0.4684, 0.4597, 0.4544, 0.4502, 0.4488, 0.448, 0.4486, 0.4484",\
"0.4828, 0.4782, 0.4689, 0.4603, 0.4552, 0.4512, 0.4496, 0.4489, 0.4486, 0.4502",\
"0.4876, 0.4832, 0.4738, 0.4652, 0.4595, 0.4557, 0.4548, 0.4541, 0.4539, 0.4538",\
"0.5064, 0.5019, 0.4925, 0.4838, 0.478, 0.4741, 0.4728, 0.4726, 0.4726, 0.4719",\
"0.5503, 0.5456, 0.5358, 0.5271, 0.5201, 0.5158, 0.5136, 0.5137, 0.5129, 0.5138",\
"0.6229, 0.6183, 0.608, 0.598, 0.5904, 0.5857, 0.5831, 0.5819, 0.5814, 0.5818",\
"0.7178, 0.712, 0.7001, 0.6897, 0.6822, 0.6762, 0.6719, 0.671, 0.6698, 0.6691",\
"0.8219, 0.8163, 0.8036, 0.7909, 0.7811, 0.7758, 0.7712, 0.7692, 0.767, 0.7664",\
"0.9339, 0.9269, 0.9148, 0.9019, 0.8892, 0.882, 0.8786, 0.8764, 0.8744, 0.8743",\
"1.055, 1.049, 1.035, 1.021, 1.009, 1, 0.9942, 0.9926, 0.9916, 0.9904");
}
}
internal_power() {
related_pin : "SETN" ;
when : "CLKN&D" ;
fall_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.4804, 0.4757, 0.4663, 0.4587, 0.4525, 0.4487, 0.4466, 0.4466, 0.4467, 0.4477",\
"0.4812, 0.4765, 0.4677, 0.4587, 0.4535, 0.45, 0.4476, 0.4478, 0.4473, 0.4477",\
"0.4859, 0.4816, 0.4721, 0.4636, 0.4579, 0.454, 0.4528, 0.4523, 0.4524, 0.4528",\
"0.5049, 0.5003, 0.4914, 0.4823, 0.477, 0.4733, 0.4712, 0.4709, 0.4711, 0.4709",\
"0.5488, 0.5442, 0.5344, 0.5256, 0.5186, 0.5143, 0.5122, 0.511, 0.5118, 0.5128",\
"0.6218, 0.6169, 0.6073, 0.5975, 0.5898, 0.5846, 0.582, 0.5809, 0.5806, 0.5804",\
"0.717, 0.7115, 0.7001, 0.6895, 0.6816, 0.6751, 0.6712, 0.6701, 0.6691, 0.6689",\
"0.821, 0.8153, 0.8032, 0.7913, 0.7812, 0.7755, 0.7711, 0.769, 0.767, 0.7657",\
"0.9333, 0.9276, 0.9148, 0.9013, 0.8901, 0.8824, 0.8789, 0.8768, 0.8753, 0.874",\
"1.055, 1.048, 1.035, 1.02, 1.008, 0.9984, 0.993, 0.9922, 0.9924, 0.9901");
/* SiliconSmart: placeholder for Liberty-required model for which no measurement is available. */
}
rise_power(pwr_tin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.4804, 0.4757, 0.4663, 0.4587, 0.4525, 0.4487, 0.4466, 0.4466, 0.4467, 0.4477",\
"0.4812, 0.4765, 0.4677, 0.4587, 0.4535, 0.45, 0.4476, 0.4478, 0.4473, 0.4477",\
"0.4859, 0.4816, 0.4721, 0.4636, 0.4579, 0.454, 0.4528, 0.4523, 0.4524, 0.4528",\
"0.5049, 0.5003, 0.4914, 0.4823, 0.477, 0.4733, 0.4712, 0.4709, 0.4711, 0.4709",\
"0.5488, 0.5442, 0.5344, 0.5256, 0.5186, 0.5143, 0.5122, 0.511, 0.5118, 0.5128",\
"0.6218, 0.6169, 0.6073, 0.5975, 0.5898, 0.5846, 0.582, 0.5809, 0.5806, 0.5804",\
"0.717, 0.7115, 0.7001, 0.6895, 0.6816, 0.6751, 0.6712, 0.6701, 0.6691, 0.6689",\
"0.821, 0.8153, 0.8032, 0.7913, 0.7812, 0.7755, 0.7711, 0.769, 0.767, 0.7657",\
"0.9333, 0.9276, 0.9148, 0.9013, 0.8901, 0.8824, 0.8789, 0.8768, 0.8753, 0.874",\
"1.055, 1.048, 1.035, 1.02, 1.008, 0.9984, 0.993, 0.9922, 0.9924, 0.9901");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : falling_edge ;
cell_fall(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.537, 0.5519, 0.5954, 0.664, 0.7601, 0.8885, 1.056, 1.267, 1.524, 1.832",\
"0.542, 0.5569, 0.6004, 0.669, 0.7651, 0.8936, 1.061, 1.272, 1.529, 1.837",\
"0.5639, 0.5789, 0.6223, 0.691, 0.787, 0.9155, 1.083, 1.293, 1.551, 1.859",\
"0.6103, 0.6253, 0.6688, 0.7375, 0.8335, 0.9619, 1.129, 1.34, 1.598, 1.905",\
"0.6797, 0.6947, 0.7382, 0.8068, 0.9029, 1.031, 1.198, 1.409, 1.667, 1.975",\
"0.7652, 0.7802, 0.8234, 0.8921, 0.9882, 1.117, 1.284, 1.495, 1.752, 2.06",\
"0.8624, 0.8772, 0.9209, 0.9895, 1.085, 1.214, 1.381, 1.592, 1.85, 2.157",\
"0.9713, 0.9862, 1.03, 1.098, 1.194, 1.323, 1.49, 1.7, 1.958, 2.266",\
"1.091, 1.106, 1.149, 1.218, 1.314, 1.443, 1.61, 1.82, 2.078, 2.385",\
"1.222, 1.237, 1.281, 1.349, 1.445, 1.573, 1.741, 1.951, 2.209, 2.516");
}
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.5616, 0.5766, 0.6228, 0.7053, 0.834, 1.016, 1.256, 1.56, 1.931, 2.374",\
"0.5664, 0.5816, 0.6278, 0.7102, 0.8391, 1.021, 1.261, 1.565, 1.936, 2.379",\
"0.5891, 0.604, 0.6501, 0.7328, 0.8615, 1.043, 1.284, 1.588, 1.959, 2.402",\
"0.6369, 0.6518, 0.6978, 0.7804, 0.9091, 1.091, 1.331, 1.635, 2.006, 2.45",\
"0.7073, 0.7225, 0.7683, 0.851, 0.9799, 1.162, 1.402, 1.706, 2.077, 2.52",\
"0.7948, 0.8098, 0.8558, 0.9384, 1.067, 1.249, 1.489, 1.793, 2.165, 2.607",\
"0.8951, 0.9101, 0.9562, 1.039, 1.167, 1.349, 1.589, 1.893, 2.264, 2.708",\
"1.006, 1.021, 1.067, 1.149, 1.278, 1.459, 1.7, 2.003, 2.374, 2.818",\
"1.126, 1.14, 1.187, 1.269, 1.398, 1.579, 1.819, 2.123, 2.494, 2.937",\
"1.255, 1.27, 1.316, 1.399, 1.527, 1.709, 1.949, 2.253, 2.624, 3.066");
}
fall_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.09178, 0.1077, 0.1555, 0.2431, 0.3836, 0.59, 0.8712, 1.231, 1.671, 2.198",\
"0.0918, 0.1077, 0.1555, 0.2431, 0.3836, 0.5899, 0.8714, 1.231, 1.671, 2.198",\
"0.09174, 0.1077, 0.1555, 0.243, 0.3836, 0.5898, 0.8713, 1.231, 1.671, 2.198",\
"0.09166, 0.1076, 0.1555, 0.243, 0.3836, 0.5899, 0.8714, 1.231, 1.671, 2.198",\
"0.0915, 0.1074, 0.1554, 0.2428, 0.3834, 0.5898, 0.8714, 1.231, 1.671, 2.198",\
"0.0914, 0.1072, 0.1552, 0.2426, 0.383, 0.589, 0.871, 1.23, 1.672, 2.198",\
"0.0918, 0.1074, 0.1554, 0.2428, 0.383, 0.5896, 0.87, 1.23, 1.67, 2.198",\
"0.0922, 0.1078, 0.1556, 0.243, 0.3834, 0.5888, 0.8698, 1.229, 1.672, 2.197",\
"0.0924, 0.108, 0.1558, 0.2434, 0.383, 0.5894, 0.87, 1.23, 1.669, 2.194",\
"0.0926, 0.1084, 0.1562, 0.2436, 0.3842, 0.5896, 0.8708, 1.23, 1.669, 2.194");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.09212, 0.1112, 0.1816, 0.3275, 0.5648, 0.8997, 1.346, 1.907, 2.587, 3.401",\
"0.09186, 0.1112, 0.1814, 0.3276, 0.5648, 0.9001, 1.345, 1.905, 2.591, 3.401",\
"0.0922, 0.1112, 0.1816, 0.3274, 0.5648, 0.8999, 1.345, 1.907, 2.587, 3.402",\
"0.0921, 0.1112, 0.1814, 0.3276, 0.5649, 0.8998, 1.346, 1.907, 2.587, 3.402",\
"0.0916, 0.111, 0.1812, 0.3278, 0.5642, 0.8998, 1.346, 1.907, 2.587, 3.402",\
"0.0916, 0.1106, 0.1812, 0.3274, 0.564, 0.9, 1.344, 1.906, 2.587, 3.4",\
"0.0914, 0.1108, 0.1818, 0.3278, 0.5642, 0.9, 1.344, 1.907, 2.592, 3.401",\
"0.0916, 0.111, 0.1812, 0.3278, 0.564, 0.8996, 1.346, 1.904, 2.59, 3.402",\
"0.0916, 0.1108, 0.1814, 0.3278, 0.565, 0.8998, 1.345, 1.904, 2.587, 3.402",\
"0.0914, 0.111, 0.181, 0.3274, 0.5648, 0.9008, 1.344, 1.904, 2.588, 3.402");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "CLKN===1'b0 && D===1'b0" ;
timing_sense : negative_unate ;
timing_type : preset ;
when : "!CLKN&!D" ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.4612, 0.476, 0.5216, 0.6035, 0.7313, 0.9128, 1.153, 1.457, 1.829, 2.272",\
"0.4666, 0.4814, 0.5271, 0.6088, 0.7367, 0.9182, 1.158, 1.462, 1.834, 2.278",\
"0.4878, 0.5024, 0.5481, 0.6299, 0.7578, 0.9392, 1.18, 1.483, 1.855, 2.299",\
"0.5318, 0.5466, 0.5924, 0.6741, 0.8019, 0.9833, 1.224, 1.527, 1.898, 2.342",\
"0.5994, 0.6142, 0.66, 0.7415, 0.8691, 1.05, 1.291, 1.594, 1.965, 2.409",\
"0.6805, 0.6953, 0.7408, 0.8221, 0.9495, 1.13, 1.371, 1.674, 2.045, 2.487",\
"0.7661, 0.7812, 0.8271, 0.9083, 1.035, 1.215, 1.455, 1.758, 2.13, 2.572",\
"0.8539, 0.869, 0.9146, 0.9957, 1.122, 1.302, 1.541, 1.844, 2.216, 2.659",\
"0.9429, 0.958, 1.004, 1.085, 1.211, 1.391, 1.63, 1.932, 2.303, 2.745",\
"1.033, 1.048, 1.094, 1.175, 1.301, 1.48, 1.719, 2.021, 2.391, 2.834");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.08982, 0.1092, 0.1787, 0.3246, 0.5618, 0.8999, 1.344, 1.906, 2.587, 3.401",\
"0.08982, 0.1092, 0.1795, 0.3246, 0.5618, 0.8999, 1.343, 1.906, 2.587, 3.401",\
"0.09022, 0.1096, 0.1787, 0.3245, 0.5617, 0.9, 1.343, 1.906, 2.587, 3.401",\
"0.09018, 0.1091, 0.1796, 0.3245, 0.5617, 0.8993, 1.344, 1.904, 2.586, 3.402",\
"0.09058, 0.11, 0.1798, 0.3245, 0.5618, 0.899, 1.343, 1.904, 2.585, 3.401",\
"0.0916, 0.1106, 0.1804, 0.3252, 0.5616, 0.9006, 1.343, 1.905, 2.587, 3.4",\
"0.0932, 0.1122, 0.1814, 0.3258, 0.5622, 0.9002, 1.344, 1.904, 2.587, 3.402",\
"0.0948, 0.1138, 0.1826, 0.3264, 0.5626, 0.8996, 1.345, 1.904, 2.587, 3.4",\
"0.0962, 0.1152, 0.1836, 0.3264, 0.5626, 0.9008, 1.343, 1.904, 2.587, 3.402",\
"0.0972, 0.1162, 0.1842, 0.327, 0.5628, 0.8996, 1.343, 1.905, 2.587, 3.404");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "CLKN===1'b0 && D===1'b1" ;
timing_sense : negative_unate ;
timing_type : preset ;
when : "!CLKN&D" ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.4612, 0.476, 0.5216, 0.6035, 0.7313, 0.9128, 1.153, 1.457, 1.829, 2.272",\
"0.4666, 0.4817, 0.527, 0.6088, 0.7367, 0.9182, 1.158, 1.462, 1.834, 2.277",\
"0.4879, 0.5026, 0.5483, 0.6299, 0.7578, 0.9392, 1.179, 1.483, 1.854, 2.297",\
"0.5318, 0.5466, 0.5924, 0.6741, 0.8019, 0.9833, 1.224, 1.527, 1.898, 2.342",\
"0.5994, 0.6143, 0.6597, 0.7414, 0.8691, 1.05, 1.29, 1.594, 1.966, 2.409",\
"0.6804, 0.6953, 0.7409, 0.822, 0.9494, 1.131, 1.371, 1.674, 2.045, 2.489",\
"0.7662, 0.7813, 0.8267, 0.908, 1.035, 1.215, 1.455, 1.758, 2.129, 2.572",\
"0.854, 0.8692, 0.915, 0.996, 1.123, 1.303, 1.542, 1.845, 2.216, 2.658",\
"0.9431, 0.9583, 1.004, 1.085, 1.212, 1.391, 1.63, 1.933, 2.303, 2.745",\
"1.033, 1.048, 1.094, 1.175, 1.301, 1.48, 1.719, 2.021, 2.392, 2.834");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.08982, 0.1092, 0.1787, 0.3246, 0.5618, 0.8999, 1.344, 1.906, 2.587, 3.401",\
"0.08984, 0.1093, 0.1787, 0.3245, 0.5618, 0.9, 1.343, 1.906, 2.586, 3.402",\
"0.08986, 0.1091, 0.1795, 0.3245, 0.5619, 0.8991, 1.343, 1.905, 2.586, 3.402",\
"0.09018, 0.1091, 0.1796, 0.3245, 0.5617, 0.8993, 1.344, 1.904, 2.586, 3.402",\
"0.09042, 0.1095, 0.179, 0.3248, 0.5622, 0.9004, 1.343, 1.907, 2.587, 3.401",\
"0.0916, 0.1106, 0.1806, 0.325, 0.5622, 0.8994, 1.343, 1.906, 2.586, 3.401",\
"0.0932, 0.1122, 0.1814, 0.3258, 0.5622, 0.8988, 1.344, 1.904, 2.585, 3.402",\
"0.0946, 0.114, 0.1828, 0.3264, 0.5626, 0.9, 1.345, 1.906, 2.587, 3.402",\
"0.096, 0.1156, 0.1834, 0.3276, 0.5626, 0.8996, 1.343, 1.904, 2.589, 3.402",\
"0.0982, 0.1164, 0.184, 0.3272, 0.5636, 0.899, 1.345, 1.905, 2.587, 3.403");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "CLKN===1'b1 && D===1'b0" ;
timing_sense : negative_unate ;
timing_type : preset ;
when : "CLKN&!D" ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.4152, 0.4302, 0.4757, 0.5575, 0.6861, 0.8675, 1.108, 1.412, 1.784, 2.228",\
"0.421, 0.4357, 0.4812, 0.5631, 0.6916, 0.8734, 1.114, 1.418, 1.789, 2.233",\
"0.4419, 0.4567, 0.5022, 0.5842, 0.7125, 0.8943, 1.135, 1.439, 1.811, 2.254",\
"0.4847, 0.4995, 0.545, 0.6269, 0.7551, 0.9369, 1.178, 1.481, 1.853, 2.296",\
"0.5465, 0.5611, 0.6065, 0.6884, 0.8162, 0.9977, 1.238, 1.542, 1.914, 2.356",\
"0.6177, 0.6326, 0.678, 0.7596, 0.8872, 1.068, 1.309, 1.612, 1.983, 2.427",\
"0.6929, 0.7077, 0.7533, 0.8348, 0.9618, 1.142, 1.382, 1.685, 2.057, 2.5",\
"0.7699, 0.7851, 0.8306, 0.9117, 1.038, 1.218, 1.457, 1.76, 2.131, 2.573",\
"0.8479, 0.8628, 0.9096, 0.9908, 1.116, 1.296, 1.535, 1.837, 2.207, 2.649",\
"0.927, 0.943, 0.9892, 1.07, 1.195, 1.374, 1.613, 1.915, 2.285, 2.727");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.08922, 0.1084, 0.1788, 0.3243, 0.562, 0.8991, 1.343, 1.903, 2.587, 3.401",\
"0.08904, 0.1082, 0.178, 0.3243, 0.562, 0.8992, 1.343, 1.904, 2.587, 3.402",\
"0.08876, 0.1081, 0.178, 0.3243, 0.5617, 0.8991, 1.344, 1.907, 2.587, 3.402",\
"0.08906, 0.1083, 0.178, 0.3243, 0.5621, 0.8992, 1.343, 1.907, 2.587, 3.402",\
"0.08922, 0.1084, 0.1794, 0.3246, 0.562, 0.8992, 1.343, 1.903, 2.587, 3.401",\
"0.0912, 0.1102, 0.1802, 0.3256, 0.5622, 0.8992, 1.344, 1.902, 2.587, 3.401",\
"0.0942, 0.1124, 0.1818, 0.3258, 0.563, 0.9008, 1.343, 1.907, 2.587, 3.402",\
"0.0966, 0.1156, 0.1836, 0.327, 0.5628, 0.8992, 1.343, 1.907, 2.587, 3.401",\
"0.0978, 0.1168, 0.1844, 0.3272, 0.5628, 0.9, 1.345, 1.903, 2.589, 3.407",\
"0.1004, 0.1188, 0.186, 0.3278, 0.5632, 0.9012, 1.343, 1.903, 2.587, 3.403");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "CLKN===1'b1 && D===1'b1" ;
timing_sense : negative_unate ;
timing_type : preset ;
when : "CLKN&D" ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.4154, 0.4301, 0.4756, 0.5579, 0.6863, 0.868, 1.108, 1.412, 1.784, 2.227",\
"0.421, 0.4356, 0.4815, 0.5632, 0.6917, 0.8736, 1.114, 1.418, 1.79, 2.234",\
"0.442, 0.4569, 0.5024, 0.5843, 0.7125, 0.8943, 1.135, 1.439, 1.811, 2.254",\
"0.4849, 0.4995, 0.5453, 0.627, 0.7555, 0.9373, 1.178, 1.481, 1.853, 2.297",\
"0.5466, 0.5612, 0.6065, 0.6884, 0.8163, 0.9977, 1.238, 1.542, 1.914, 2.357",\
"0.6179, 0.6327, 0.6785, 0.7602, 0.8877, 1.069, 1.309, 1.612, 1.984, 2.428",\
"0.6931, 0.7081, 0.7538, 0.8352, 0.9619, 1.143, 1.382, 1.686, 2.057, 2.5",\
"0.7697, 0.7851, 0.8308, 0.9124, 1.039, 1.219, 1.457, 1.76, 2.131, 2.573",\
"0.8479, 0.8635, 0.9097, 0.9906, 1.117, 1.296, 1.535, 1.837, 2.208, 2.65",\
"0.9269, 0.9424, 0.9886, 1.07, 1.195, 1.374, 1.612, 1.914, 2.285, 2.726");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.08876, 0.1079, 0.1782, 0.3242, 0.562, 0.8991, 1.343, 1.903, 2.586, 3.402",\
"0.08852, 0.1087, 0.1788, 0.3244, 0.5621, 0.8994, 1.344, 1.902, 2.587, 3.401",\
"0.0889, 0.1081, 0.1779, 0.3243, 0.5621, 0.8993, 1.343, 1.904, 2.587, 3.402",\
"0.08884, 0.1087, 0.1789, 0.3243, 0.5618, 0.899, 1.343, 1.902, 2.587, 3.401",\
"0.08922, 0.1085, 0.1795, 0.3245, 0.5618, 0.8992, 1.344, 1.907, 2.587, 3.402",\
"0.0916, 0.1114, 0.1802, 0.3252, 0.5618, 0.8994, 1.344, 1.905, 2.587, 3.4",\
"0.0942, 0.1132, 0.1812, 0.3258, 0.563, 0.8992, 1.343, 1.905, 2.586, 3.401",\
"0.0962, 0.1146, 0.1832, 0.327, 0.563, 0.899, 1.343, 1.904, 2.591, 3.402",\
"0.0986, 0.1176, 0.185, 0.3272, 0.563, 0.8998, 1.344, 1.903, 2.588, 3.402",\
"0.1002, 0.1188, 0.1862, 0.3278, 0.5632, 0.9, 1.344, 1.906, 2.588, 3.404");
}
}
timing() {
related_pin : "SETN" ;
timing_sense : negative_unate ;
timing_type : preset ;
cell_rise(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.4612, 0.476, 0.5216, 0.6035, 0.7313, 0.9128, 1.153, 1.457, 1.829, 2.272",\
"0.4666, 0.4817, 0.527, 0.6088, 0.7367, 0.9182, 1.158, 1.462, 1.834, 2.277",\
"0.4879, 0.5026, 0.5483, 0.6299, 0.7578, 0.9392, 1.179, 1.483, 1.854, 2.297",\
"0.5318, 0.5466, 0.5924, 0.6741, 0.8019, 0.9833, 1.224, 1.527, 1.898, 2.342",\
"0.5994, 0.6143, 0.6597, 0.7414, 0.8691, 1.05, 1.29, 1.594, 1.966, 2.409",\
"0.6804, 0.6953, 0.7409, 0.822, 0.9494, 1.131, 1.371, 1.674, 2.045, 2.489",\
"0.7662, 0.7813, 0.8267, 0.908, 1.035, 1.215, 1.455, 1.758, 2.129, 2.572",\
"0.854, 0.8692, 0.915, 0.996, 1.123, 1.303, 1.542, 1.845, 2.216, 2.658",\
"0.9431, 0.9583, 1.004, 1.085, 1.212, 1.391, 1.63, 1.933, 2.303, 2.745",\
"1.033, 1.048, 1.094, 1.175, 1.301, 1.48, 1.719, 2.021, 2.392, 2.834");
}
rise_transition(tmg_ntin_oload_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.001, 0.002567, 0.008067, 0.01857, 0.03494, 0.0579, 0.08811, 0.1262, 0.1726, 0.228");
values("0.08922, 0.1084, 0.1788, 0.3243, 0.562, 0.8991, 1.343, 1.903, 2.587, 3.401",\
"0.08904, 0.1082, 0.178, 0.3243, 0.562, 0.8992, 1.343, 1.904, 2.587, 3.402",\
"0.08876, 0.1081, 0.178, 0.3243, 0.5617, 0.8991, 1.344, 1.907, 2.587, 3.402",\
"0.08906, 0.1083, 0.178, 0.3243, 0.5621, 0.8992, 1.343, 1.907, 2.587, 3.402",\
"0.08922, 0.1084, 0.1794, 0.3246, 0.562, 0.8992, 1.343, 1.903, 2.587, 3.401",\
"0.0912, 0.1102, 0.1802, 0.3256, 0.5622, 0.8992, 1.344, 1.902, 2.587, 3.401",\
"0.0942, 0.1124, 0.1818, 0.3258, 0.563, 0.9008, 1.343, 1.907, 2.587, 3.402",\
"0.0966, 0.1156, 0.1836, 0.327, 0.5628, 0.8992, 1.343, 1.907, 2.587, 3.401",\
"0.0978, 0.1168, 0.1844, 0.3272, 0.5628, 0.9, 1.345, 1.903, 2.589, 3.407",\
"0.1004, 0.1188, 0.186, 0.3278, 0.5632, 0.9012, 1.343, 1.903, 2.587, 3.403");
}
}
}
pin(SETN) {
capacitance : 0.006512 ;
direction : input ;
driver_waveform_rise : driver_waveform_default_rise ;
driver_waveform_fall : driver_waveform_default_fall ;
input_voltage : default ;
max_transition : 3.4 ;
min_pulse_width_low : 0.312 ;
related_ground_pin : VSS ;
related_power_pin : VDD ;
internal_power() {
when : "!CLKN&!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.06131, 0.06126, 0.06112, 0.06115, 0.06111, 0.06116, 0.06121, \
0.06113, 0.06117, 0.06119");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("-0.02966, -0.02962, -0.02959, -0.02964, -0.0295, -0.02952, -0.02965, \
-0.02947, -0.02961, -0.02975");
}
}
internal_power() {
when : "!CLKN&D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.06128, 0.0612, 0.06119, 0.06112, 0.06109, 0.06113, 0.0612, 0.06125, \
0.06125, 0.06119");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("-0.02966, -0.02964, -0.02959, -0.02963, -0.02952, -0.02951, -0.02969, \
-0.02946, -0.02965, -0.02968");
}
}
internal_power() {
when : "CLKN&!D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.1761, 0.176, 0.1807, 0.1972, 0.2301, 0.2825, 0.3559, 0.4505, \
0.5681, 0.7094");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.04034, 0.04019, 0.04357, 0.05692, 0.08516, 0.1312, 0.1962, 0.2816, \
0.3878, 0.5163");
}
}
internal_power() {
when : "CLKN&D" ;
fall_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.06128, 0.06124, 0.06115, 0.06116, 0.0611, 0.06108, 0.06114, \
0.06114, 0.06121, 0.0612");
}
rise_power(pwr_tin_10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("-0.02967, -0.02966, -0.02959, -0.02964, -0.02955, -0.02958, -0.02968, \
-0.0295, -0.02965, -0.0297");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : recovery_falling ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.12, 0.116, 0.09, 0.052, -0.005, -0.075, -0.138, -0.23, -0.325, -0.43",\
"0.124, 0.119, 0.096, 0.055, -0.004, -0.07, -0.139, -0.226, -0.32, -0.423",\
"0.134, 0.132, 0.107, 0.066, 0.007, -0.06, -0.126, -0.215, -0.312, -0.411",\
"0.161, 0.161, 0.13, 0.093, 0.034, -0.028, -0.096, -0.188, -0.284, -0.384",\
"0.21, 0.208, 0.182, 0.142, 0.08, 0.015, -0.059, -0.141, -0.241, -0.347",\
"0.292, 0.291, 0.266, 0.22, 0.164, 0.094, 0.018, -0.068, -0.164, -0.276",\
"0.431, 0.421, 0.398, 0.358, 0.287, 0.218, 0.15, 0.059, -0.041, -0.151",\
"0.652, 0.658, 0.62, 0.576, 0.492, 0.429, 0.347, 0.255, 0.149, 0.047",\
"0.963, 0.956, 0.93, 0.885, 0.809, 0.712, 0.626, 0.538, 0.432, 0.338",\
"1.337, 1.336, 1.299, 1.244, 1.162, 1.078, 0.986, 0.89, 0.788, 0.679");
}
}
timing() {
related_pin : "CLKN" ;
timing_type : removal_falling ;
rise_constraint(cnst_ctin_rtin_10x10) {
index_1("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
index_2("0.02, 0.04333, 0.1252, 0.2816, 0.5252, 0.8671, 1.317, 1.884, 2.575, 3.4");
values("0.074, 0.081, 0.103, 0.145, 0.21, 0.286, 0.371, 0.469, 0.574, 0.698",\
"0.073, 0.079, 0.1, 0.144, 0.208, 0.285, 0.375, 0.467, 0.577, 0.692",\
"0.059, 0.063, 0.087, 0.13, 0.199, 0.272, 0.358, 0.46, 0.562, 0.686",\
"0.036, 0.044, 0.066, 0.109, 0.172, 0.253, 0.341, 0.433, 0.541, 0.661",\
"0.003, 0.011, 0.033, 0.074, 0.142, 0.219, 0.306, 0.404, 0.517, 0.632",\
"-0.032, -0.026, -0.007, 0.038, 0.108, 0.188, 0.27, 0.372, 0.478, 0.594",\
"-0.078, -0.07, -0.046, -0, 0.059, 0.138, 0.231, 0.331, 0.441, 0.554",\
"-0.123, -0.118, -0.097, -0.052, 0.016, 0.095, 0.189, 0.293, 0.394, 0.512",\
"-0.171, -0.165, -0.145, -0.094, -0.028, 0.053, 0.144, 0.243, 0.355, 0.474",\
"-0.223, -0.215, -0.197, -0.152, -0.082, -0, 0.094, 0.196, 0.308, 0.43");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "ENABLE_NOT_CLKN_AND_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!CLKN&!D" ;
fall_constraint(scalar) {
values("0.312");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "ENABLE_NOT_CLKN_AND_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "!CLKN&D" ;
fall_constraint(scalar) {
values("0.312");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "ENABLE_CLKN_AND_NOT_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "CLKN&!D" ;
fall_constraint(scalar) {
values("0.295");
}
}
timing() {
related_pin : "SETN" ;
sdf_cond : "ENABLE_CLKN_AND_D === 1'b1" ;
timing_type : min_pulse_width ;
when : "CLKN&D" ;
fall_constraint(scalar) {
values("0.295");
}
}
}
}